Revision 31a60e22 slirp/udp.h

b/slirp/udp.h
72 72
#define ui_ulen         ui_u.uh_ulen
73 73
#define ui_sum          ui_u.uh_sum
74 74

  
75
#ifdef LOG_ENABLED
75 76
struct udpstat {
76 77
	                                /* input statistics: */
77 78
	        u_long  udps_ipackets;          /* total input packets */
......
85 86
	                                /* output statistics: */
86 87
	        u_long  udps_opackets;          /* total output packets */
87 88
};
89
#endif
88 90

  
89 91
/*
90 92
 * Names for UDP sysctl objects
......
92 94
#define UDPCTL_CHECKSUM         1       /* checksum UDP packets */
93 95
#define UDPCTL_MAXID            2
94 96

  
97
#ifdef LOG_ENABLED
95 98
extern struct udpstat udpstat;
99
#endif
100

  
96 101
extern struct socket udb;
97 102
struct mbuf;
98 103

  

Also available in: Unified diff