« Previous | Next » 

Revision bb600388

IDbb600388bc187e5008f1936b2b8e5593c3df2fa8

Added by Michael Hanselmann over 11 years ago

gnt-debug delay: Add "--submit" option

Signed-off-by: Michael Hanselmann <>
Reviewed-by: Iustin Pop <>

Files

  • added
  • modified
  • copied
  • renamed
  • deleted

View differences