Statistics
| Branch: | Revision:

root / target-i386 / translate.c @ 07ce05ea

History | View | Annotate | Download (131.1 kB)

1
/*
2
 *  i386 translation
3
 * 
4
 *  Copyright (c) 2003 Fabrice Bellard
5
 *
6
 * This library is free software; you can redistribute it and/or
7
 * modify it under the terms of the GNU Lesser General Public
8
 * License as published by the Free Software Foundation; either
9
 * version 2 of the License, or (at your option) any later version.
10
 *
11
 * This library is distributed in the hope that it will be useful,
12
 * but WITHOUT ANY WARRANTY; without even the implied warranty of
13
 * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
 * Lesser General Public License for more details.
15
 *
16
 * You should have received a copy of the GNU Lesser General Public
17
 * License along with this library; if not, write to the Free Software
18
 * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307  USA
19
 */
20
#include <stdarg.h>
21
#include <stdlib.h>
22
#include <stdio.h>
23
#include <string.h>
24
#include <inttypes.h>
25
#include <signal.h>
26
#include <assert.h>
27
#include <sys/mman.h>
28

    
29
#include "cpu.h"
30
#include "exec-all.h"
31
#include "disas.h"
32

    
33
/* XXX: move that elsewhere */
34
static uint16_t *gen_opc_ptr;
35
static uint32_t *gen_opparam_ptr;
36

    
37
#define PREFIX_REPZ   0x01
38
#define PREFIX_REPNZ  0x02
39
#define PREFIX_LOCK   0x04
40
#define PREFIX_DATA   0x08
41
#define PREFIX_ADR    0x10
42

    
43
typedef struct DisasContext {
44
    /* current insn context */
45
    int override; /* -1 if no override */
46
    int prefix;
47
    int aflag, dflag;
48
    uint8_t *pc; /* pc = eip + cs_base */
49
    int is_jmp; /* 1 = means jump (stop translation), 2 means CPU
50
                   static state change (stop translation) */
51
    /* current block context */
52
    uint8_t *cs_base; /* base of CS segment */
53
    int pe;     /* protected mode */
54
    int code32; /* 32 bit code segment */
55
    int ss32;   /* 32 bit stack segment */
56
    int cc_op;  /* current CC operation */
57
    int addseg; /* non zero if either DS/ES/SS have a non zero base */
58
    int f_st;   /* currently unused */
59
    int vm86;   /* vm86 mode */
60
    int cpl;
61
    int iopl;
62
    int tf;     /* TF cpu flag */
63
    int singlestep_enabled; /* "hardware" single step enabled */
64
    int jmp_opt; /* use direct block chaining for direct jumps */
65
    int mem_index; /* select memory access functions */
66
    struct TranslationBlock *tb;
67
    int popl_esp_hack; /* for correct popl with esp base handling */
68
} DisasContext;
69

    
70
static void gen_eob(DisasContext *s);
71
static void gen_jmp(DisasContext *s, unsigned int eip);
72

    
73
/* i386 arith/logic operations */
74
enum {
75
    OP_ADDL, 
76
    OP_ORL, 
77
    OP_ADCL, 
78
    OP_SBBL,
79
    OP_ANDL, 
80
    OP_SUBL, 
81
    OP_XORL, 
82
    OP_CMPL,
83
};
84

    
85
/* i386 shift ops */
86
enum {
87
    OP_ROL, 
88
    OP_ROR, 
89
    OP_RCL, 
90
    OP_RCR, 
91
    OP_SHL, 
92
    OP_SHR, 
93
    OP_SHL1, /* undocumented */
94
    OP_SAR = 7,
95
};
96

    
97
enum {
98
#define DEF(s, n, copy_size) INDEX_op_ ## s,
99
#include "opc.h"
100
#undef DEF
101
    NB_OPS,
102
};
103

    
104
#include "gen-op.h"
105

    
106
/* operand size */
107
enum {
108
    OT_BYTE = 0,
109
    OT_WORD,
110
    OT_LONG, 
111
    OT_QUAD,
112
};
113

    
114
enum {
115
    /* I386 int registers */
116
    OR_EAX,   /* MUST be even numbered */
117
    OR_ECX,
118
    OR_EDX,
119
    OR_EBX,
120
    OR_ESP,
121
    OR_EBP,
122
    OR_ESI,
123
    OR_EDI,
124
    OR_TMP0,    /* temporary operand register */
125
    OR_TMP1,
126
    OR_A0, /* temporary register used when doing address evaluation */
127
    OR_ZERO, /* fixed zero register */
128
    NB_OREGS,
129
};
130

    
131
static GenOpFunc *gen_op_mov_reg_T0[3][8] = {
132
    [OT_BYTE] = {
133
        gen_op_movb_EAX_T0,
134
        gen_op_movb_ECX_T0,
135
        gen_op_movb_EDX_T0,
136
        gen_op_movb_EBX_T0,
137
        gen_op_movh_EAX_T0,
138
        gen_op_movh_ECX_T0,
139
        gen_op_movh_EDX_T0,
140
        gen_op_movh_EBX_T0,
141
    },
142
    [OT_WORD] = {
143
        gen_op_movw_EAX_T0,
144
        gen_op_movw_ECX_T0,
145
        gen_op_movw_EDX_T0,
146
        gen_op_movw_EBX_T0,
147
        gen_op_movw_ESP_T0,
148
        gen_op_movw_EBP_T0,
149
        gen_op_movw_ESI_T0,
150
        gen_op_movw_EDI_T0,
151
    },
152
    [OT_LONG] = {
153
        gen_op_movl_EAX_T0,
154
        gen_op_movl_ECX_T0,
155
        gen_op_movl_EDX_T0,
156
        gen_op_movl_EBX_T0,
157
        gen_op_movl_ESP_T0,
158
        gen_op_movl_EBP_T0,
159
        gen_op_movl_ESI_T0,
160
        gen_op_movl_EDI_T0,
161
    },
162
};
163

    
164
static GenOpFunc *gen_op_mov_reg_T1[3][8] = {
165
    [OT_BYTE] = {
166
        gen_op_movb_EAX_T1,
167
        gen_op_movb_ECX_T1,
168
        gen_op_movb_EDX_T1,
169
        gen_op_movb_EBX_T1,
170
        gen_op_movh_EAX_T1,
171
        gen_op_movh_ECX_T1,
172
        gen_op_movh_EDX_T1,
173
        gen_op_movh_EBX_T1,
174
    },
175
    [OT_WORD] = {
176
        gen_op_movw_EAX_T1,
177
        gen_op_movw_ECX_T1,
178
        gen_op_movw_EDX_T1,
179
        gen_op_movw_EBX_T1,
180
        gen_op_movw_ESP_T1,
181
        gen_op_movw_EBP_T1,
182
        gen_op_movw_ESI_T1,
183
        gen_op_movw_EDI_T1,
184
    },
185
    [OT_LONG] = {
186
        gen_op_movl_EAX_T1,
187
        gen_op_movl_ECX_T1,
188
        gen_op_movl_EDX_T1,
189
        gen_op_movl_EBX_T1,
190
        gen_op_movl_ESP_T1,
191
        gen_op_movl_EBP_T1,
192
        gen_op_movl_ESI_T1,
193
        gen_op_movl_EDI_T1,
194
    },
195
};
196

    
197
static GenOpFunc *gen_op_mov_reg_A0[2][8] = {
198
    [0] = {
199
        gen_op_movw_EAX_A0,
200
        gen_op_movw_ECX_A0,
201
        gen_op_movw_EDX_A0,
202
        gen_op_movw_EBX_A0,
203
        gen_op_movw_ESP_A0,
204
        gen_op_movw_EBP_A0,
205
        gen_op_movw_ESI_A0,
206
        gen_op_movw_EDI_A0,
207
    },
208
    [1] = {
209
        gen_op_movl_EAX_A0,
210
        gen_op_movl_ECX_A0,
211
        gen_op_movl_EDX_A0,
212
        gen_op_movl_EBX_A0,
213
        gen_op_movl_ESP_A0,
214
        gen_op_movl_EBP_A0,
215
        gen_op_movl_ESI_A0,
216
        gen_op_movl_EDI_A0,
217
    },
218
};
219

    
220
static GenOpFunc *gen_op_mov_TN_reg[3][2][8] = 
221
{
222
    [OT_BYTE] = {
223
        {
224
            gen_op_movl_T0_EAX,
225
            gen_op_movl_T0_ECX,
226
            gen_op_movl_T0_EDX,
227
            gen_op_movl_T0_EBX,
228
            gen_op_movh_T0_EAX,
229
            gen_op_movh_T0_ECX,
230
            gen_op_movh_T0_EDX,
231
            gen_op_movh_T0_EBX,
232
        },
233
        {
234
            gen_op_movl_T1_EAX,
235
            gen_op_movl_T1_ECX,
236
            gen_op_movl_T1_EDX,
237
            gen_op_movl_T1_EBX,
238
            gen_op_movh_T1_EAX,
239
            gen_op_movh_T1_ECX,
240
            gen_op_movh_T1_EDX,
241
            gen_op_movh_T1_EBX,
242
        },
243
    },
244
    [OT_WORD] = {
245
        {
246
            gen_op_movl_T0_EAX,
247
            gen_op_movl_T0_ECX,
248
            gen_op_movl_T0_EDX,
249
            gen_op_movl_T0_EBX,
250
            gen_op_movl_T0_ESP,
251
            gen_op_movl_T0_EBP,
252
            gen_op_movl_T0_ESI,
253
            gen_op_movl_T0_EDI,
254
        },
255
        {
256
            gen_op_movl_T1_EAX,
257
            gen_op_movl_T1_ECX,
258
            gen_op_movl_T1_EDX,
259
            gen_op_movl_T1_EBX,
260
            gen_op_movl_T1_ESP,
261
            gen_op_movl_T1_EBP,
262
            gen_op_movl_T1_ESI,
263
            gen_op_movl_T1_EDI,
264
        },
265
    },
266
    [OT_LONG] = {
267
        {
268
            gen_op_movl_T0_EAX,
269
            gen_op_movl_T0_ECX,
270
            gen_op_movl_T0_EDX,
271
            gen_op_movl_T0_EBX,
272
            gen_op_movl_T0_ESP,
273
            gen_op_movl_T0_EBP,
274
            gen_op_movl_T0_ESI,
275
            gen_op_movl_T0_EDI,
276
        },
277
        {
278
            gen_op_movl_T1_EAX,
279
            gen_op_movl_T1_ECX,
280
            gen_op_movl_T1_EDX,
281
            gen_op_movl_T1_EBX,
282
            gen_op_movl_T1_ESP,
283
            gen_op_movl_T1_EBP,
284
            gen_op_movl_T1_ESI,
285
            gen_op_movl_T1_EDI,
286
        },
287
    },
288
};
289

    
290
static GenOpFunc *gen_op_movl_A0_reg[8] = {
291
    gen_op_movl_A0_EAX,
292
    gen_op_movl_A0_ECX,
293
    gen_op_movl_A0_EDX,
294
    gen_op_movl_A0_EBX,
295
    gen_op_movl_A0_ESP,
296
    gen_op_movl_A0_EBP,
297
    gen_op_movl_A0_ESI,
298
    gen_op_movl_A0_EDI,
299
};
300

    
301
static GenOpFunc *gen_op_addl_A0_reg_sN[4][8] = {
302
    [0] = {
303
        gen_op_addl_A0_EAX,
304
        gen_op_addl_A0_ECX,
305
        gen_op_addl_A0_EDX,
306
        gen_op_addl_A0_EBX,
307
        gen_op_addl_A0_ESP,
308
        gen_op_addl_A0_EBP,
309
        gen_op_addl_A0_ESI,
310
        gen_op_addl_A0_EDI,
311
    },
312
    [1] = {
313
        gen_op_addl_A0_EAX_s1,
314
        gen_op_addl_A0_ECX_s1,
315
        gen_op_addl_A0_EDX_s1,
316
        gen_op_addl_A0_EBX_s1,
317
        gen_op_addl_A0_ESP_s1,
318
        gen_op_addl_A0_EBP_s1,
319
        gen_op_addl_A0_ESI_s1,
320
        gen_op_addl_A0_EDI_s1,
321
    },
322
    [2] = {
323
        gen_op_addl_A0_EAX_s2,
324
        gen_op_addl_A0_ECX_s2,
325
        gen_op_addl_A0_EDX_s2,
326
        gen_op_addl_A0_EBX_s2,
327
        gen_op_addl_A0_ESP_s2,
328
        gen_op_addl_A0_EBP_s2,
329
        gen_op_addl_A0_ESI_s2,
330
        gen_op_addl_A0_EDI_s2,
331
    },
332
    [3] = {
333
        gen_op_addl_A0_EAX_s3,
334
        gen_op_addl_A0_ECX_s3,
335
        gen_op_addl_A0_EDX_s3,
336
        gen_op_addl_A0_EBX_s3,
337
        gen_op_addl_A0_ESP_s3,
338
        gen_op_addl_A0_EBP_s3,
339
        gen_op_addl_A0_ESI_s3,
340
        gen_op_addl_A0_EDI_s3,
341
    },
342
};
343

    
344
static GenOpFunc *gen_op_cmov_reg_T1_T0[2][8] = {
345
    [0] = {
346
        gen_op_cmovw_EAX_T1_T0,
347
        gen_op_cmovw_ECX_T1_T0,
348
        gen_op_cmovw_EDX_T1_T0,
349
        gen_op_cmovw_EBX_T1_T0,
350
        gen_op_cmovw_ESP_T1_T0,
351
        gen_op_cmovw_EBP_T1_T0,
352
        gen_op_cmovw_ESI_T1_T0,
353
        gen_op_cmovw_EDI_T1_T0,
354
    },
355
    [1] = {
356
        gen_op_cmovl_EAX_T1_T0,
357
        gen_op_cmovl_ECX_T1_T0,
358
        gen_op_cmovl_EDX_T1_T0,
359
        gen_op_cmovl_EBX_T1_T0,
360
        gen_op_cmovl_ESP_T1_T0,
361
        gen_op_cmovl_EBP_T1_T0,
362
        gen_op_cmovl_ESI_T1_T0,
363
        gen_op_cmovl_EDI_T1_T0,
364
    },
365
};
366

    
367
static GenOpFunc *gen_op_arith_T0_T1_cc[8] = {
368
    NULL,
369
    gen_op_orl_T0_T1,
370
    NULL,
371
    NULL,
372
    gen_op_andl_T0_T1,
373
    NULL,
374
    gen_op_xorl_T0_T1,
375
    NULL,
376
};
377

    
378
#define DEF_ARITHC(SUFFIX)\
379
    {\
380
        gen_op_adcb ## SUFFIX ## _T0_T1_cc,\
381
        gen_op_sbbb ## SUFFIX ## _T0_T1_cc,\
382
    },\
383
    {\
384
        gen_op_adcw ## SUFFIX ## _T0_T1_cc,\
385
        gen_op_sbbw ## SUFFIX ## _T0_T1_cc,\
386
    },\
387
    {\
388
        gen_op_adcl ## SUFFIX ## _T0_T1_cc,\
389
        gen_op_sbbl ## SUFFIX ## _T0_T1_cc,\
390
    },
391

    
392
static GenOpFunc *gen_op_arithc_T0_T1_cc[3][2] = {
393
    DEF_ARITHC()
394
};
395

    
396
static GenOpFunc *gen_op_arithc_mem_T0_T1_cc[9][2] = {
397
    DEF_ARITHC(_raw)
398
#ifndef CONFIG_USER_ONLY
399
    DEF_ARITHC(_kernel)
400
    DEF_ARITHC(_user)
401
#endif
402
};
403

    
404
static const int cc_op_arithb[8] = {
405
    CC_OP_ADDB,
406
    CC_OP_LOGICB,
407
    CC_OP_ADDB,
408
    CC_OP_SUBB,
409
    CC_OP_LOGICB,
410
    CC_OP_SUBB,
411
    CC_OP_LOGICB,
412
    CC_OP_SUBB,
413
};
414

    
415
#define DEF_CMPXCHG(SUFFIX)\
416
    gen_op_cmpxchgb ## SUFFIX ## _T0_T1_EAX_cc,\
417
    gen_op_cmpxchgw ## SUFFIX ## _T0_T1_EAX_cc,\
418
    gen_op_cmpxchgl ## SUFFIX ## _T0_T1_EAX_cc,
419

    
420

    
421
static GenOpFunc *gen_op_cmpxchg_T0_T1_EAX_cc[3] = {
422
    DEF_CMPXCHG()
423
};
424

    
425
static GenOpFunc *gen_op_cmpxchg_mem_T0_T1_EAX_cc[9] = {
426
    DEF_CMPXCHG(_raw)
427
#ifndef CONFIG_USER_ONLY
428
    DEF_CMPXCHG(_kernel)
429
    DEF_CMPXCHG(_user)
430
#endif
431
};
432

    
433
#define DEF_SHIFT(SUFFIX)\
434
    {\
435
        gen_op_rolb ## SUFFIX ## _T0_T1_cc,\
436
        gen_op_rorb ## SUFFIX ## _T0_T1_cc,\
437
        gen_op_rclb ## SUFFIX ## _T0_T1_cc,\
438
        gen_op_rcrb ## SUFFIX ## _T0_T1_cc,\
439
        gen_op_shlb ## SUFFIX ## _T0_T1_cc,\
440
        gen_op_shrb ## SUFFIX ## _T0_T1_cc,\
441
        gen_op_shlb ## SUFFIX ## _T0_T1_cc,\
442
        gen_op_sarb ## SUFFIX ## _T0_T1_cc,\
443
    },\
444
    {\
445
        gen_op_rolw ## SUFFIX ## _T0_T1_cc,\
446
        gen_op_rorw ## SUFFIX ## _T0_T1_cc,\
447
        gen_op_rclw ## SUFFIX ## _T0_T1_cc,\
448
        gen_op_rcrw ## SUFFIX ## _T0_T1_cc,\
449
        gen_op_shlw ## SUFFIX ## _T0_T1_cc,\
450
        gen_op_shrw ## SUFFIX ## _T0_T1_cc,\
451
        gen_op_shlw ## SUFFIX ## _T0_T1_cc,\
452
        gen_op_sarw ## SUFFIX ## _T0_T1_cc,\
453
    },\
454
    {\
455
        gen_op_roll ## SUFFIX ## _T0_T1_cc,\
456
        gen_op_rorl ## SUFFIX ## _T0_T1_cc,\
457
        gen_op_rcll ## SUFFIX ## _T0_T1_cc,\
458
        gen_op_rcrl ## SUFFIX ## _T0_T1_cc,\
459
        gen_op_shll ## SUFFIX ## _T0_T1_cc,\
460
        gen_op_shrl ## SUFFIX ## _T0_T1_cc,\
461
        gen_op_shll ## SUFFIX ## _T0_T1_cc,\
462
        gen_op_sarl ## SUFFIX ## _T0_T1_cc,\
463
    },
464

    
465
static GenOpFunc *gen_op_shift_T0_T1_cc[3][8] = {
466
    DEF_SHIFT()
467
};
468

    
469
static GenOpFunc *gen_op_shift_mem_T0_T1_cc[9][8] = {
470
    DEF_SHIFT(_raw)
471
#ifndef CONFIG_USER_ONLY
472
    DEF_SHIFT(_kernel)
473
    DEF_SHIFT(_user)
474
#endif
475
};
476

    
477
#define DEF_SHIFTD(SUFFIX, op)\
478
    {\
479
        NULL,\
480
        NULL,\
481
    },\
482
    {\
483
        gen_op_shldw ## SUFFIX ## _T0_T1_ ## op ## _cc,\
484
        gen_op_shrdw ## SUFFIX ## _T0_T1_ ## op ## _cc,\
485
    },\
486
    {\
487
        gen_op_shldl ## SUFFIX ## _T0_T1_ ## op ## _cc,\
488
        gen_op_shrdl ## SUFFIX ## _T0_T1_ ## op ## _cc,\
489
    },
490

    
491

    
492
static GenOpFunc1 *gen_op_shiftd_T0_T1_im_cc[3][2] = {
493
    DEF_SHIFTD(, im)
494
};
495

    
496
static GenOpFunc *gen_op_shiftd_T0_T1_ECX_cc[3][2] = {
497
    DEF_SHIFTD(, ECX)
498
};
499

    
500
static GenOpFunc1 *gen_op_shiftd_mem_T0_T1_im_cc[9][2] = {
501
    DEF_SHIFTD(_raw, im)
502
#ifndef CONFIG_USER_ONLY
503
    DEF_SHIFTD(_kernel, im)
504
    DEF_SHIFTD(_user, im)
505
#endif
506
};
507

    
508
static GenOpFunc *gen_op_shiftd_mem_T0_T1_ECX_cc[9][2] = {
509
    DEF_SHIFTD(_raw, ECX)
510
#ifndef CONFIG_USER_ONLY
511
    DEF_SHIFTD(_kernel, ECX)
512
    DEF_SHIFTD(_user, ECX)
513
#endif
514
};
515

    
516
static GenOpFunc *gen_op_btx_T0_T1_cc[2][4] = {
517
    [0] = {
518
        gen_op_btw_T0_T1_cc,
519
        gen_op_btsw_T0_T1_cc,
520
        gen_op_btrw_T0_T1_cc,
521
        gen_op_btcw_T0_T1_cc,
522
    },
523
    [1] = {
524
        gen_op_btl_T0_T1_cc,
525
        gen_op_btsl_T0_T1_cc,
526
        gen_op_btrl_T0_T1_cc,
527
        gen_op_btcl_T0_T1_cc,
528
    },
529
};
530

    
531
static GenOpFunc *gen_op_bsx_T0_cc[2][2] = {
532
    [0] = {
533
        gen_op_bsfw_T0_cc,
534
        gen_op_bsrw_T0_cc,
535
    },
536
    [1] = {
537
        gen_op_bsfl_T0_cc,
538
        gen_op_bsrl_T0_cc,
539
    },
540
};
541

    
542
static GenOpFunc *gen_op_lds_T0_A0[3 * 3] = {
543
    gen_op_ldsb_raw_T0_A0,
544
    gen_op_ldsw_raw_T0_A0,
545
    NULL,
546
#ifndef CONFIG_USER_ONLY
547
    gen_op_ldsb_kernel_T0_A0,
548
    gen_op_ldsw_kernel_T0_A0,
549
    NULL,
550

    
551
    gen_op_ldsb_user_T0_A0,
552
    gen_op_ldsw_user_T0_A0,
553
    NULL,
554
#endif
555
};
556

    
557
static GenOpFunc *gen_op_ldu_T0_A0[3 * 3] = {
558
    gen_op_ldub_raw_T0_A0,
559
    gen_op_lduw_raw_T0_A0,
560
    NULL,
561

    
562
#ifndef CONFIG_USER_ONLY
563
    gen_op_ldub_kernel_T0_A0,
564
    gen_op_lduw_kernel_T0_A0,
565
    NULL,
566

    
567
    gen_op_ldub_user_T0_A0,
568
    gen_op_lduw_user_T0_A0,
569
    NULL,
570
#endif
571
};
572

    
573
/* sign does not matter, except for lidt/lgdt call (TODO: fix it) */
574
static GenOpFunc *gen_op_ld_T0_A0[3 * 3] = {
575
    gen_op_ldub_raw_T0_A0,
576
    gen_op_lduw_raw_T0_A0,
577
    gen_op_ldl_raw_T0_A0,
578

    
579
#ifndef CONFIG_USER_ONLY
580
    gen_op_ldub_kernel_T0_A0,
581
    gen_op_lduw_kernel_T0_A0,
582
    gen_op_ldl_kernel_T0_A0,
583

    
584
    gen_op_ldub_user_T0_A0,
585
    gen_op_lduw_user_T0_A0,
586
    gen_op_ldl_user_T0_A0,
587
#endif
588
};
589

    
590
static GenOpFunc *gen_op_ld_T1_A0[3 * 3] = {
591
    gen_op_ldub_raw_T1_A0,
592
    gen_op_lduw_raw_T1_A0,
593
    gen_op_ldl_raw_T1_A0,
594

    
595
#ifndef CONFIG_USER_ONLY
596
    gen_op_ldub_kernel_T1_A0,
597
    gen_op_lduw_kernel_T1_A0,
598
    gen_op_ldl_kernel_T1_A0,
599

    
600
    gen_op_ldub_user_T1_A0,
601
    gen_op_lduw_user_T1_A0,
602
    gen_op_ldl_user_T1_A0,
603
#endif
604
};
605

    
606
static GenOpFunc *gen_op_st_T0_A0[3 * 3] = {
607
    gen_op_stb_raw_T0_A0,
608
    gen_op_stw_raw_T0_A0,
609
    gen_op_stl_raw_T0_A0,
610

    
611
#ifndef CONFIG_USER_ONLY
612
    gen_op_stb_kernel_T0_A0,
613
    gen_op_stw_kernel_T0_A0,
614
    gen_op_stl_kernel_T0_A0,
615

    
616
    gen_op_stb_user_T0_A0,
617
    gen_op_stw_user_T0_A0,
618
    gen_op_stl_user_T0_A0,
619
#endif
620
};
621

    
622
static GenOpFunc *gen_op_st_T1_A0[3 * 3] = {
623
    NULL,
624
    gen_op_stw_raw_T1_A0,
625
    gen_op_stl_raw_T1_A0,
626

    
627
#ifndef CONFIG_USER_ONLY
628
    NULL,
629
    gen_op_stw_kernel_T1_A0,
630
    gen_op_stl_kernel_T1_A0,
631

    
632
    NULL,
633
    gen_op_stw_user_T1_A0,
634
    gen_op_stl_user_T1_A0,
635
#endif
636
};
637

    
638
static inline void gen_string_movl_A0_ESI(DisasContext *s)
639
{
640
    int override;
641

    
642
    override = s->override;
643
    if (s->aflag) {
644
        /* 32 bit address */
645
        if (s->addseg && override < 0)
646
            override = R_DS;
647
        if (override >= 0) {
648
            gen_op_movl_A0_seg(offsetof(CPUX86State,segs[override].base));
649
            gen_op_addl_A0_reg_sN[0][R_ESI]();
650
        } else {
651
            gen_op_movl_A0_reg[R_ESI]();
652
        }
653
    } else {
654
        /* 16 address, always override */
655
        if (override < 0)
656
            override = R_DS;
657
        gen_op_movl_A0_reg[R_ESI]();
658
        gen_op_andl_A0_ffff();
659
        gen_op_addl_A0_seg(offsetof(CPUX86State,segs[override].base));
660
    }
661
}
662

    
663
static inline void gen_string_movl_A0_EDI(DisasContext *s)
664
{
665
    if (s->aflag) {
666
        if (s->addseg) {
667
            gen_op_movl_A0_seg(offsetof(CPUX86State,segs[R_ES].base));
668
            gen_op_addl_A0_reg_sN[0][R_EDI]();
669
        } else {
670
            gen_op_movl_A0_reg[R_EDI]();
671
        }
672
    } else {
673
        gen_op_movl_A0_reg[R_EDI]();
674
        gen_op_andl_A0_ffff();
675
        gen_op_addl_A0_seg(offsetof(CPUX86State,segs[R_ES].base));
676
    }
677
}
678

    
679
static GenOpFunc *gen_op_movl_T0_Dshift[3] = {
680
    gen_op_movl_T0_Dshiftb,
681
    gen_op_movl_T0_Dshiftw,
682
    gen_op_movl_T0_Dshiftl,
683
};
684

    
685
static GenOpFunc2 *gen_op_jz_ecx[2] = {
686
    gen_op_jz_ecxw,
687
    gen_op_jz_ecxl,
688
};
689
    
690
static GenOpFunc1 *gen_op_jz_ecx_im[2] = {
691
    gen_op_jz_ecxw_im,
692
    gen_op_jz_ecxl_im,
693
};
694

    
695
static GenOpFunc *gen_op_dec_ECX[2] = {
696
    gen_op_decw_ECX,
697
    gen_op_decl_ECX,
698
};
699

    
700
#ifdef USE_DIRECT_JUMP
701
typedef GenOpFunc GenOpFuncTB2;
702
#define gen_op_string_jnz_sub(nz, ot, tb) gen_op_string_jnz_sub2[nz][ot]()
703
#else
704
typedef GenOpFunc1 GenOpFuncTB2;
705
#define gen_op_string_jnz_sub(nz, ot, tb) gen_op_string_jnz_sub2[nz][ot](tb)
706
#endif
707

    
708
static GenOpFuncTB2 *gen_op_string_jnz_sub2[2][3] = {
709
    {
710
        gen_op_string_jnz_subb,
711
        gen_op_string_jnz_subw,
712
        gen_op_string_jnz_subl,
713
    },
714
    {
715
        gen_op_string_jz_subb,
716
        gen_op_string_jz_subw,
717
        gen_op_string_jz_subl,
718
    },
719
};
720

    
721
static GenOpFunc1 *gen_op_string_jnz_sub_im[2][3] = {
722
    {
723
        gen_op_string_jnz_subb_im,
724
        gen_op_string_jnz_subw_im,
725
        gen_op_string_jnz_subl_im,
726
    },
727
    {
728
        gen_op_string_jz_subb_im,
729
        gen_op_string_jz_subw_im,
730
        gen_op_string_jz_subl_im,
731
    },
732
};
733

    
734
static GenOpFunc *gen_op_in_DX_T0[3] = {
735
    gen_op_inb_DX_T0,
736
    gen_op_inw_DX_T0,
737
    gen_op_inl_DX_T0,
738
};
739

    
740
static GenOpFunc *gen_op_out_DX_T0[3] = {
741
    gen_op_outb_DX_T0,
742
    gen_op_outw_DX_T0,
743
    gen_op_outl_DX_T0,
744
};
745

    
746
static GenOpFunc *gen_op_in[3] = {
747
    gen_op_inb_T0_T1,
748
    gen_op_inw_T0_T1,
749
    gen_op_inl_T0_T1,
750
};
751

    
752
static GenOpFunc *gen_op_out[3] = {
753
    gen_op_outb_T0_T1,
754
    gen_op_outw_T0_T1,
755
    gen_op_outl_T0_T1,
756
};
757

    
758
static GenOpFunc *gen_check_io_T0[3] = {
759
    gen_op_check_iob_T0,
760
    gen_op_check_iow_T0,
761
    gen_op_check_iol_T0,
762
};
763

    
764
static GenOpFunc *gen_check_io_DX[3] = {
765
    gen_op_check_iob_DX,
766
    gen_op_check_iow_DX,
767
    gen_op_check_iol_DX,
768
};
769

    
770
static void gen_check_io(DisasContext *s, int ot, int use_dx, int cur_eip)
771
{
772
    if (s->pe && (s->cpl > s->iopl || s->vm86)) {
773
        if (s->cc_op != CC_OP_DYNAMIC)
774
            gen_op_set_cc_op(s->cc_op);
775
        gen_op_jmp_im(cur_eip);
776
        if (use_dx)
777
            gen_check_io_DX[ot]();
778
        else
779
            gen_check_io_T0[ot]();
780
    }
781
}
782

    
783
static inline void gen_movs(DisasContext *s, int ot)
784
{
785
    gen_string_movl_A0_ESI(s);
786
    gen_op_ld_T0_A0[ot + s->mem_index]();
787
    gen_string_movl_A0_EDI(s);
788
    gen_op_st_T0_A0[ot + s->mem_index]();
789
    gen_op_movl_T0_Dshift[ot]();
790
    if (s->aflag) {
791
        gen_op_addl_ESI_T0();
792
        gen_op_addl_EDI_T0();
793
    } else {
794
        gen_op_addw_ESI_T0();
795
        gen_op_addw_EDI_T0();
796
    }
797
}
798

    
799
static inline void gen_update_cc_op(DisasContext *s)
800
{
801
    if (s->cc_op != CC_OP_DYNAMIC) {
802
        gen_op_set_cc_op(s->cc_op);
803
        s->cc_op = CC_OP_DYNAMIC;
804
    }
805
}
806

    
807
static inline void gen_jz_ecx_string(DisasContext *s, unsigned int next_eip)
808
{
809
    if (s->jmp_opt) {
810
        gen_op_jz_ecx[s->aflag]((long)s->tb, next_eip);
811
    } else {
812
        /* XXX: does not work with gdbstub "ice" single step - not a
813
           serious problem */
814
        gen_op_jz_ecx_im[s->aflag](next_eip);
815
    }
816
}
817

    
818
static inline void gen_stos(DisasContext *s, int ot)
819
{
820
    gen_op_mov_TN_reg[OT_LONG][0][R_EAX]();
821
    gen_string_movl_A0_EDI(s);
822
    gen_op_st_T0_A0[ot + s->mem_index]();
823
    gen_op_movl_T0_Dshift[ot]();
824
    if (s->aflag) {
825
        gen_op_addl_EDI_T0();
826
    } else {
827
        gen_op_addw_EDI_T0();
828
    }
829
}
830

    
831
static inline void gen_lods(DisasContext *s, int ot)
832
{
833
    gen_string_movl_A0_ESI(s);
834
    gen_op_ld_T0_A0[ot + s->mem_index]();
835
    gen_op_mov_reg_T0[ot][R_EAX]();
836
    gen_op_movl_T0_Dshift[ot]();
837
    if (s->aflag) {
838
        gen_op_addl_ESI_T0();
839
    } else {
840
        gen_op_addw_ESI_T0();
841
    }
842
}
843

    
844
static inline void gen_scas(DisasContext *s, int ot)
845
{
846
    gen_op_mov_TN_reg[OT_LONG][0][R_EAX]();
847
    gen_string_movl_A0_EDI(s);
848
    gen_op_ld_T1_A0[ot + s->mem_index]();
849
    gen_op_cmpl_T0_T1_cc();
850
    gen_op_movl_T0_Dshift[ot]();
851
    if (s->aflag) {
852
        gen_op_addl_EDI_T0();
853
    } else {
854
        gen_op_addw_EDI_T0();
855
    }
856
}
857

    
858
static inline void gen_cmps(DisasContext *s, int ot)
859
{
860
    gen_string_movl_A0_ESI(s);
861
    gen_op_ld_T0_A0[ot + s->mem_index]();
862
    gen_string_movl_A0_EDI(s);
863
    gen_op_ld_T1_A0[ot + s->mem_index]();
864
    gen_op_cmpl_T0_T1_cc();
865
    gen_op_movl_T0_Dshift[ot]();
866
    if (s->aflag) {
867
        gen_op_addl_ESI_T0();
868
        gen_op_addl_EDI_T0();
869
    } else {
870
        gen_op_addw_ESI_T0();
871
        gen_op_addw_EDI_T0();
872
    }
873
}
874

    
875
static inline void gen_ins(DisasContext *s, int ot)
876
{
877
    gen_op_in_DX_T0[ot]();
878
    gen_string_movl_A0_EDI(s);
879
    gen_op_st_T0_A0[ot + s->mem_index]();
880
    gen_op_movl_T0_Dshift[ot]();
881
    if (s->aflag) {
882
        gen_op_addl_EDI_T0();
883
    } else {
884
        gen_op_addw_EDI_T0();
885
    }
886
}
887

    
888
static inline void gen_outs(DisasContext *s, int ot)
889
{
890
    gen_string_movl_A0_ESI(s);
891
    gen_op_ld_T0_A0[ot + s->mem_index]();
892
    gen_op_out_DX_T0[ot]();
893
    gen_op_movl_T0_Dshift[ot]();
894
    if (s->aflag) {
895
        gen_op_addl_ESI_T0();
896
    } else {
897
        gen_op_addw_ESI_T0();
898
    }
899
}
900

    
901
/* same method as Valgrind : we generate jumps to current or next
902
   instruction */
903
#define GEN_REPZ(op)                                                          \
904
static inline void gen_repz_ ## op(DisasContext *s, int ot,                   \
905
                                 unsigned int cur_eip, unsigned int next_eip) \
906
{                                                                             \
907
    gen_update_cc_op(s);                                                      \
908
    gen_jz_ecx_string(s, next_eip);                                           \
909
    gen_ ## op(s, ot);                                                        \
910
    gen_op_dec_ECX[s->aflag]();                                               \
911
    /* a loop would cause two single step exceptions if ECX = 1               \
912
       before rep string_insn */                                              \
913
    if (!s->jmp_opt)                                                          \
914
        gen_op_jz_ecx_im[s->aflag](next_eip);                                 \
915
    gen_jmp(s, cur_eip);                                                      \
916
}
917

    
918
#define GEN_REPZ2(op)                                                         \
919
static inline void gen_repz_ ## op(DisasContext *s, int ot,                   \
920
                                   unsigned int cur_eip,                      \
921
                                   unsigned int next_eip,                     \
922
                                   int nz)                                    \
923
{                                                                             \
924
    gen_update_cc_op(s);                                                      \
925
    gen_jz_ecx_string(s, next_eip);                                           \
926
    gen_ ## op(s, ot);                                                        \
927
    gen_op_dec_ECX[s->aflag]();                                               \
928
    gen_op_set_cc_op(CC_OP_SUBB + ot);                                        \
929
    if (!s->jmp_opt)                                                          \
930
        gen_op_string_jnz_sub_im[nz][ot](next_eip);                           \
931
    else                                                                      \
932
        gen_op_string_jnz_sub(nz, ot, (long)s->tb);                           \
933
    if (!s->jmp_opt)                                                          \
934
        gen_op_jz_ecx_im[s->aflag](next_eip);                                 \
935
    gen_jmp(s, cur_eip);                                                      \
936
}
937

    
938
GEN_REPZ(movs)
939
GEN_REPZ(stos)
940
GEN_REPZ(lods)
941
GEN_REPZ(ins)
942
GEN_REPZ(outs)
943
GEN_REPZ2(scas)
944
GEN_REPZ2(cmps)
945

    
946
enum {
947
    JCC_O,
948
    JCC_B,
949
    JCC_Z,
950
    JCC_BE,
951
    JCC_S,
952
    JCC_P,
953
    JCC_L,
954
    JCC_LE,
955
};
956

    
957
static GenOpFunc3 *gen_jcc_sub[3][8] = {
958
    [OT_BYTE] = {
959
        NULL,
960
        gen_op_jb_subb,
961
        gen_op_jz_subb,
962
        gen_op_jbe_subb,
963
        gen_op_js_subb,
964
        NULL,
965
        gen_op_jl_subb,
966
        gen_op_jle_subb,
967
    },
968
    [OT_WORD] = {
969
        NULL,
970
        gen_op_jb_subw,
971
        gen_op_jz_subw,
972
        gen_op_jbe_subw,
973
        gen_op_js_subw,
974
        NULL,
975
        gen_op_jl_subw,
976
        gen_op_jle_subw,
977
    },
978
    [OT_LONG] = {
979
        NULL,
980
        gen_op_jb_subl,
981
        gen_op_jz_subl,
982
        gen_op_jbe_subl,
983
        gen_op_js_subl,
984
        NULL,
985
        gen_op_jl_subl,
986
        gen_op_jle_subl,
987
    },
988
};
989
static GenOpFunc2 *gen_op_loop[2][4] = {
990
    [0] = {
991
        gen_op_loopnzw,
992
        gen_op_loopzw,
993
        gen_op_loopw,
994
        gen_op_jecxzw,
995
    },
996
    [1] = {
997
        gen_op_loopnzl,
998
        gen_op_loopzl,
999
        gen_op_loopl,
1000
        gen_op_jecxzl,
1001
    },
1002
};
1003

    
1004
static GenOpFunc *gen_setcc_slow[8] = {
1005
    gen_op_seto_T0_cc,
1006
    gen_op_setb_T0_cc,
1007
    gen_op_setz_T0_cc,
1008
    gen_op_setbe_T0_cc,
1009
    gen_op_sets_T0_cc,
1010
    gen_op_setp_T0_cc,
1011
    gen_op_setl_T0_cc,
1012
    gen_op_setle_T0_cc,
1013
};
1014

    
1015
static GenOpFunc *gen_setcc_sub[3][8] = {
1016
    [OT_BYTE] = {
1017
        NULL,
1018
        gen_op_setb_T0_subb,
1019
        gen_op_setz_T0_subb,
1020
        gen_op_setbe_T0_subb,
1021
        gen_op_sets_T0_subb,
1022
        NULL,
1023
        gen_op_setl_T0_subb,
1024
        gen_op_setle_T0_subb,
1025
    },
1026
    [OT_WORD] = {
1027
        NULL,
1028
        gen_op_setb_T0_subw,
1029
        gen_op_setz_T0_subw,
1030
        gen_op_setbe_T0_subw,
1031
        gen_op_sets_T0_subw,
1032
        NULL,
1033
        gen_op_setl_T0_subw,
1034
        gen_op_setle_T0_subw,
1035
    },
1036
    [OT_LONG] = {
1037
        NULL,
1038
        gen_op_setb_T0_subl,
1039
        gen_op_setz_T0_subl,
1040
        gen_op_setbe_T0_subl,
1041
        gen_op_sets_T0_subl,
1042
        NULL,
1043
        gen_op_setl_T0_subl,
1044
        gen_op_setle_T0_subl,
1045
    },
1046
};
1047

    
1048
static GenOpFunc *gen_op_fp_arith_ST0_FT0[8] = {
1049
    gen_op_fadd_ST0_FT0,
1050
    gen_op_fmul_ST0_FT0,
1051
    gen_op_fcom_ST0_FT0,
1052
    gen_op_fcom_ST0_FT0,
1053
    gen_op_fsub_ST0_FT0,
1054
    gen_op_fsubr_ST0_FT0,
1055
    gen_op_fdiv_ST0_FT0,
1056
    gen_op_fdivr_ST0_FT0,
1057
};
1058

    
1059
/* NOTE the exception in "r" op ordering */
1060
static GenOpFunc1 *gen_op_fp_arith_STN_ST0[8] = {
1061
    gen_op_fadd_STN_ST0,
1062
    gen_op_fmul_STN_ST0,
1063
    NULL,
1064
    NULL,
1065
    gen_op_fsubr_STN_ST0,
1066
    gen_op_fsub_STN_ST0,
1067
    gen_op_fdivr_STN_ST0,
1068
    gen_op_fdiv_STN_ST0,
1069
};
1070

    
1071
/* if d == OR_TMP0, it means memory operand (address in A0) */
1072
static void gen_op(DisasContext *s1, int op, int ot, int d)
1073
{
1074
    GenOpFunc *gen_update_cc;
1075
    
1076
    if (d != OR_TMP0) {
1077
        gen_op_mov_TN_reg[ot][0][d]();
1078
    } else {
1079
        gen_op_ld_T0_A0[ot + s1->mem_index]();
1080
    }
1081
    switch(op) {
1082
    case OP_ADCL:
1083
    case OP_SBBL:
1084
        if (s1->cc_op != CC_OP_DYNAMIC)
1085
            gen_op_set_cc_op(s1->cc_op);
1086
        if (d != OR_TMP0) {
1087
            gen_op_arithc_T0_T1_cc[ot][op - OP_ADCL]();
1088
            gen_op_mov_reg_T0[ot][d]();
1089
        } else {
1090
            gen_op_arithc_mem_T0_T1_cc[ot + s1->mem_index][op - OP_ADCL]();
1091
        }
1092
        s1->cc_op = CC_OP_DYNAMIC;
1093
        goto the_end;
1094
    case OP_ADDL:
1095
        gen_op_addl_T0_T1();
1096
        s1->cc_op = CC_OP_ADDB + ot;
1097
        gen_update_cc = gen_op_update2_cc;
1098
        break;
1099
    case OP_SUBL:
1100
        gen_op_subl_T0_T1();
1101
        s1->cc_op = CC_OP_SUBB + ot;
1102
        gen_update_cc = gen_op_update2_cc;
1103
        break;
1104
    default:
1105
    case OP_ANDL:
1106
    case OP_ORL:
1107
    case OP_XORL:
1108
        gen_op_arith_T0_T1_cc[op]();
1109
        s1->cc_op = CC_OP_LOGICB + ot;
1110
        gen_update_cc = gen_op_update1_cc;
1111
        break;
1112
    case OP_CMPL:
1113
        gen_op_cmpl_T0_T1_cc();
1114
        s1->cc_op = CC_OP_SUBB + ot;
1115
        gen_update_cc = NULL;
1116
        break;
1117
    }
1118
    if (op != OP_CMPL) {
1119
        if (d != OR_TMP0)
1120
            gen_op_mov_reg_T0[ot][d]();
1121
        else
1122
            gen_op_st_T0_A0[ot + s1->mem_index]();
1123
    }
1124
    /* the flags update must happen after the memory write (precise
1125
       exception support) */
1126
    if (gen_update_cc)
1127
        gen_update_cc();
1128
 the_end: ;
1129
}
1130

    
1131
/* if d == OR_TMP0, it means memory operand (address in A0) */
1132
static void gen_inc(DisasContext *s1, int ot, int d, int c)
1133
{
1134
    if (d != OR_TMP0)
1135
        gen_op_mov_TN_reg[ot][0][d]();
1136
    else
1137
        gen_op_ld_T0_A0[ot + s1->mem_index]();
1138
    if (s1->cc_op != CC_OP_DYNAMIC)
1139
        gen_op_set_cc_op(s1->cc_op);
1140
    if (c > 0) {
1141
        gen_op_incl_T0();
1142
        s1->cc_op = CC_OP_INCB + ot;
1143
    } else {
1144
        gen_op_decl_T0();
1145
        s1->cc_op = CC_OP_DECB + ot;
1146
    }
1147
    if (d != OR_TMP0)
1148
        gen_op_mov_reg_T0[ot][d]();
1149
    else
1150
        gen_op_st_T0_A0[ot + s1->mem_index]();
1151
    gen_op_update_inc_cc();
1152
}
1153

    
1154
static void gen_shift(DisasContext *s1, int op, int ot, int d, int s)
1155
{
1156
    if (d != OR_TMP0)
1157
        gen_op_mov_TN_reg[ot][0][d]();
1158
    else
1159
        gen_op_ld_T0_A0[ot + s1->mem_index]();
1160
    if (s != OR_TMP1)
1161
        gen_op_mov_TN_reg[ot][1][s]();
1162
    /* for zero counts, flags are not updated, so must do it dynamically */
1163
    if (s1->cc_op != CC_OP_DYNAMIC)
1164
        gen_op_set_cc_op(s1->cc_op);
1165
    
1166
    if (d != OR_TMP0)
1167
        gen_op_shift_T0_T1_cc[ot][op]();
1168
    else
1169
        gen_op_shift_mem_T0_T1_cc[ot + s1->mem_index][op]();
1170
    if (d != OR_TMP0)
1171
        gen_op_mov_reg_T0[ot][d]();
1172
    s1->cc_op = CC_OP_DYNAMIC; /* cannot predict flags after */
1173
}
1174

    
1175
static void gen_shifti(DisasContext *s1, int op, int ot, int d, int c)
1176
{
1177
    /* currently not optimized */
1178
    gen_op_movl_T1_im(c);
1179
    gen_shift(s1, op, ot, d, OR_TMP1);
1180
}
1181

    
1182
static void gen_lea_modrm(DisasContext *s, int modrm, int *reg_ptr, int *offset_ptr)
1183
{
1184
    int havesib;
1185
    int base, disp;
1186
    int index;
1187
    int scale;
1188
    int opreg;
1189
    int mod, rm, code, override, must_add_seg;
1190

    
1191
    override = s->override;
1192
    must_add_seg = s->addseg;
1193
    if (override >= 0)
1194
        must_add_seg = 1;
1195
    mod = (modrm >> 6) & 3;
1196
    rm = modrm & 7;
1197

    
1198
    if (s->aflag) {
1199

    
1200
        havesib = 0;
1201
        base = rm;
1202
        index = 0;
1203
        scale = 0;
1204
        
1205
        if (base == 4) {
1206
            havesib = 1;
1207
            code = ldub_code(s->pc++);
1208
            scale = (code >> 6) & 3;
1209
            index = (code >> 3) & 7;
1210
            base = code & 7;
1211
        }
1212

    
1213
        switch (mod) {
1214
        case 0:
1215
            if (base == 5) {
1216
                base = -1;
1217
                disp = ldl_code(s->pc);
1218
                s->pc += 4;
1219
            } else {
1220
                disp = 0;
1221
            }
1222
            break;
1223
        case 1:
1224
            disp = (int8_t)ldub_code(s->pc++);
1225
            break;
1226
        default:
1227
        case 2:
1228
            disp = ldl_code(s->pc);
1229
            s->pc += 4;
1230
            break;
1231
        }
1232
        
1233
        if (base >= 0) {
1234
            /* for correct popl handling with esp */
1235
            if (base == 4 && s->popl_esp_hack)
1236
                disp += s->popl_esp_hack;
1237
            gen_op_movl_A0_reg[base]();
1238
            if (disp != 0)
1239
                gen_op_addl_A0_im(disp);
1240
        } else {
1241
            gen_op_movl_A0_im(disp);
1242
        }
1243
        /* XXX: index == 4 is always invalid */
1244
        if (havesib && (index != 4 || scale != 0)) {
1245
            gen_op_addl_A0_reg_sN[scale][index]();
1246
        }
1247
        if (must_add_seg) {
1248
            if (override < 0) {
1249
                if (base == R_EBP || base == R_ESP)
1250
                    override = R_SS;
1251
                else
1252
                    override = R_DS;
1253
            }
1254
            gen_op_addl_A0_seg(offsetof(CPUX86State,segs[override].base));
1255
        }
1256
    } else {
1257
        switch (mod) {
1258
        case 0:
1259
            if (rm == 6) {
1260
                disp = lduw_code(s->pc);
1261
                s->pc += 2;
1262
                gen_op_movl_A0_im(disp);
1263
                rm = 0; /* avoid SS override */
1264
                goto no_rm;
1265
            } else {
1266
                disp = 0;
1267
            }
1268
            break;
1269
        case 1:
1270
            disp = (int8_t)ldub_code(s->pc++);
1271
            break;
1272
        default:
1273
        case 2:
1274
            disp = lduw_code(s->pc);
1275
            s->pc += 2;
1276
            break;
1277
        }
1278
        switch(rm) {
1279
        case 0:
1280
            gen_op_movl_A0_reg[R_EBX]();
1281
            gen_op_addl_A0_reg_sN[0][R_ESI]();
1282
            break;
1283
        case 1:
1284
            gen_op_movl_A0_reg[R_EBX]();
1285
            gen_op_addl_A0_reg_sN[0][R_EDI]();
1286
            break;
1287
        case 2:
1288
            gen_op_movl_A0_reg[R_EBP]();
1289
            gen_op_addl_A0_reg_sN[0][R_ESI]();
1290
            break;
1291
        case 3:
1292
            gen_op_movl_A0_reg[R_EBP]();
1293
            gen_op_addl_A0_reg_sN[0][R_EDI]();
1294
            break;
1295
        case 4:
1296
            gen_op_movl_A0_reg[R_ESI]();
1297
            break;
1298
        case 5:
1299
            gen_op_movl_A0_reg[R_EDI]();
1300
            break;
1301
        case 6:
1302
            gen_op_movl_A0_reg[R_EBP]();
1303
            break;
1304
        default:
1305
        case 7:
1306
            gen_op_movl_A0_reg[R_EBX]();
1307
            break;
1308
        }
1309
        if (disp != 0)
1310
            gen_op_addl_A0_im(disp);
1311
        gen_op_andl_A0_ffff();
1312
    no_rm:
1313
        if (must_add_seg) {
1314
            if (override < 0) {
1315
                if (rm == 2 || rm == 3 || rm == 6)
1316
                    override = R_SS;
1317
                else
1318
                    override = R_DS;
1319
            }
1320
            gen_op_addl_A0_seg(offsetof(CPUX86State,segs[override].base));
1321
        }
1322
    }
1323

    
1324
    opreg = OR_A0;
1325
    disp = 0;
1326
    *reg_ptr = opreg;
1327
    *offset_ptr = disp;
1328
}
1329

    
1330
/* generate modrm memory load or store of 'reg'. TMP0 is used if reg !=
1331
   OR_TMP0 */
1332
static void gen_ldst_modrm(DisasContext *s, int modrm, int ot, int reg, int is_store)
1333
{
1334
    int mod, rm, opreg, disp;
1335

    
1336
    mod = (modrm >> 6) & 3;
1337
    rm = modrm & 7;
1338
    if (mod == 3) {
1339
        if (is_store) {
1340
            if (reg != OR_TMP0)
1341
                gen_op_mov_TN_reg[ot][0][reg]();
1342
            gen_op_mov_reg_T0[ot][rm]();
1343
        } else {
1344
            gen_op_mov_TN_reg[ot][0][rm]();
1345
            if (reg != OR_TMP0)
1346
                gen_op_mov_reg_T0[ot][reg]();
1347
        }
1348
    } else {
1349
        gen_lea_modrm(s, modrm, &opreg, &disp);
1350
        if (is_store) {
1351
            if (reg != OR_TMP0)
1352
                gen_op_mov_TN_reg[ot][0][reg]();
1353
            gen_op_st_T0_A0[ot + s->mem_index]();
1354
        } else {
1355
            gen_op_ld_T0_A0[ot + s->mem_index]();
1356
            if (reg != OR_TMP0)
1357
                gen_op_mov_reg_T0[ot][reg]();
1358
        }
1359
    }
1360
}
1361

    
1362
static inline uint32_t insn_get(DisasContext *s, int ot)
1363
{
1364
    uint32_t ret;
1365

    
1366
    switch(ot) {
1367
    case OT_BYTE:
1368
        ret = ldub_code(s->pc);
1369
        s->pc++;
1370
        break;
1371
    case OT_WORD:
1372
        ret = lduw_code(s->pc);
1373
        s->pc += 2;
1374
        break;
1375
    default:
1376
    case OT_LONG:
1377
        ret = ldl_code(s->pc);
1378
        s->pc += 4;
1379
        break;
1380
    }
1381
    return ret;
1382
}
1383

    
1384
static inline void gen_jcc(DisasContext *s, int b, int val, int next_eip)
1385
{
1386
    TranslationBlock *tb;
1387
    int inv, jcc_op;
1388
    GenOpFunc3 *func;
1389

    
1390
    inv = b & 1;
1391
    jcc_op = (b >> 1) & 7;
1392
    
1393
    if (s->jmp_opt) {
1394
        switch(s->cc_op) {
1395
            /* we optimize the cmp/jcc case */
1396
        case CC_OP_SUBB:
1397
        case CC_OP_SUBW:
1398
        case CC_OP_SUBL:
1399
            func = gen_jcc_sub[s->cc_op - CC_OP_SUBB][jcc_op];
1400
            break;
1401
            
1402
            /* some jumps are easy to compute */
1403
        case CC_OP_ADDB:
1404
        case CC_OP_ADDW:
1405
        case CC_OP_ADDL:
1406
        case CC_OP_ADCB:
1407
        case CC_OP_ADCW:
1408
        case CC_OP_ADCL:
1409
        case CC_OP_SBBB:
1410
        case CC_OP_SBBW:
1411
        case CC_OP_SBBL:
1412
        case CC_OP_LOGICB:
1413
        case CC_OP_LOGICW:
1414
        case CC_OP_LOGICL:
1415
        case CC_OP_INCB:
1416
        case CC_OP_INCW:
1417
        case CC_OP_INCL:
1418
        case CC_OP_DECB:
1419
        case CC_OP_DECW:
1420
        case CC_OP_DECL:
1421
        case CC_OP_SHLB:
1422
        case CC_OP_SHLW:
1423
        case CC_OP_SHLL:
1424
        case CC_OP_SARB:
1425
        case CC_OP_SARW:
1426
        case CC_OP_SARL:
1427
            switch(jcc_op) {
1428
            case JCC_Z:
1429
                func = gen_jcc_sub[(s->cc_op - CC_OP_ADDB) % 3][jcc_op];
1430
                break;
1431
            case JCC_S:
1432
                func = gen_jcc_sub[(s->cc_op - CC_OP_ADDB) % 3][jcc_op];
1433
                break;
1434
            default:
1435
                func = NULL;
1436
                break;
1437
            }
1438
            break;
1439
        default:
1440
            func = NULL;
1441
            break;
1442
        }
1443

    
1444
        if (s->cc_op != CC_OP_DYNAMIC)
1445
            gen_op_set_cc_op(s->cc_op);
1446

    
1447
        if (!func) {
1448
            gen_setcc_slow[jcc_op]();
1449
            func = gen_op_jcc;
1450
        }
1451
    
1452
        tb = s->tb;
1453
        if (!inv) {
1454
            func((long)tb, val, next_eip);
1455
        } else {
1456
            func((long)tb, next_eip, val);
1457
        }
1458
        s->is_jmp = 3;
1459
    } else {
1460
        if (s->cc_op != CC_OP_DYNAMIC) {
1461
            gen_op_set_cc_op(s->cc_op);
1462
            s->cc_op = CC_OP_DYNAMIC;
1463
        }
1464
        gen_setcc_slow[jcc_op]();
1465
        if (!inv) {
1466
            gen_op_jcc_im(val, next_eip);
1467
        } else {
1468
            gen_op_jcc_im(next_eip, val);
1469
        }
1470
        gen_eob(s);
1471
    }
1472
}
1473

    
1474
static void gen_setcc(DisasContext *s, int b)
1475
{
1476
    int inv, jcc_op;
1477
    GenOpFunc *func;
1478

    
1479
    inv = b & 1;
1480
    jcc_op = (b >> 1) & 7;
1481
    switch(s->cc_op) {
1482
        /* we optimize the cmp/jcc case */
1483
    case CC_OP_SUBB:
1484
    case CC_OP_SUBW:
1485
    case CC_OP_SUBL:
1486
        func = gen_setcc_sub[s->cc_op - CC_OP_SUBB][jcc_op];
1487
        if (!func)
1488
            goto slow_jcc;
1489
        break;
1490
        
1491
        /* some jumps are easy to compute */
1492
    case CC_OP_ADDB:
1493
    case CC_OP_ADDW:
1494
    case CC_OP_ADDL:
1495
    case CC_OP_LOGICB:
1496
    case CC_OP_LOGICW:
1497
    case CC_OP_LOGICL:
1498
    case CC_OP_INCB:
1499
    case CC_OP_INCW:
1500
    case CC_OP_INCL:
1501
    case CC_OP_DECB:
1502
    case CC_OP_DECW:
1503
    case CC_OP_DECL:
1504
    case CC_OP_SHLB:
1505
    case CC_OP_SHLW:
1506
    case CC_OP_SHLL:
1507
        switch(jcc_op) {
1508
        case JCC_Z:
1509
            func = gen_setcc_sub[(s->cc_op - CC_OP_ADDB) % 3][jcc_op];
1510
            break;
1511
        case JCC_S:
1512
            func = gen_setcc_sub[(s->cc_op - CC_OP_ADDB) % 3][jcc_op];
1513
            break;
1514
        default:
1515
            goto slow_jcc;
1516
        }
1517
        break;
1518
    default:
1519
    slow_jcc:
1520
        if (s->cc_op != CC_OP_DYNAMIC)
1521
            gen_op_set_cc_op(s->cc_op);
1522
        func = gen_setcc_slow[jcc_op];
1523
        break;
1524
    }
1525
    func();
1526
    if (inv) {
1527
        gen_op_xor_T0_1();
1528
    }
1529
}
1530

    
1531
/* move T0 to seg_reg and compute if the CPU state may change. Never
1532
   call this function with seg_reg == R_CS */
1533
static void gen_movl_seg_T0(DisasContext *s, int seg_reg, unsigned int cur_eip)
1534
{
1535
    if (s->pe && !s->vm86) {
1536
        /* XXX: optimize by finding processor state dynamically */
1537
        if (s->cc_op != CC_OP_DYNAMIC)
1538
            gen_op_set_cc_op(s->cc_op);
1539
        gen_op_jmp_im(cur_eip);
1540
        gen_op_movl_seg_T0(seg_reg);
1541
    } else {
1542
        gen_op_movl_seg_T0_vm(offsetof(CPUX86State,segs[seg_reg]));
1543
    }
1544
    /* abort translation because the register may have a non zero base
1545
       or because ss32 may change. For R_SS, translation must always
1546
       stop as a special handling must be done to disable hardware
1547
       interrupts for the next instruction */
1548
    if (seg_reg == R_SS || (!s->addseg && seg_reg < R_FS))
1549
        s->is_jmp = 3;
1550
}
1551

    
1552
static inline void gen_stack_update(DisasContext *s, int addend)
1553
{
1554
    if (s->ss32) {
1555
        if (addend == 2)
1556
            gen_op_addl_ESP_2();
1557
        else if (addend == 4)
1558
            gen_op_addl_ESP_4();
1559
        else 
1560
            gen_op_addl_ESP_im(addend);
1561
    } else {
1562
        if (addend == 2)
1563
            gen_op_addw_ESP_2();
1564
        else if (addend == 4)
1565
            gen_op_addw_ESP_4();
1566
        else
1567
            gen_op_addw_ESP_im(addend);
1568
    }
1569
}
1570

    
1571
/* generate a push. It depends on ss32, addseg and dflag */
1572
static void gen_push_T0(DisasContext *s)
1573
{
1574
    gen_op_movl_A0_reg[R_ESP]();
1575
    if (!s->dflag)
1576
        gen_op_subl_A0_2();
1577
    else
1578
        gen_op_subl_A0_4();
1579
    if (s->ss32) {
1580
        if (s->addseg) {
1581
            gen_op_movl_T1_A0();
1582
            gen_op_addl_A0_SS();
1583
        }
1584
    } else {
1585
        gen_op_andl_A0_ffff();
1586
        gen_op_movl_T1_A0();
1587
        gen_op_addl_A0_SS();
1588
    }
1589
    gen_op_st_T0_A0[s->dflag + 1 + s->mem_index]();
1590
    if (s->ss32 && !s->addseg)
1591
        gen_op_movl_ESP_A0();
1592
    else
1593
        gen_op_mov_reg_T1[s->ss32 + 1][R_ESP]();
1594
}
1595

    
1596
/* generate a push. It depends on ss32, addseg and dflag */
1597
/* slower version for T1, only used for call Ev */
1598
static void gen_push_T1(DisasContext *s)
1599
{
1600
    gen_op_movl_A0_reg[R_ESP]();
1601
    if (!s->dflag)
1602
        gen_op_subl_A0_2();
1603
    else
1604
        gen_op_subl_A0_4();
1605
    if (s->ss32) {
1606
        if (s->addseg) {
1607
            gen_op_addl_A0_SS();
1608
        }
1609
    } else {
1610
        gen_op_andl_A0_ffff();
1611
        gen_op_addl_A0_SS();
1612
    }
1613
    gen_op_st_T1_A0[s->dflag + 1 + s->mem_index]();
1614
    
1615
    if (s->ss32 && !s->addseg)
1616
        gen_op_movl_ESP_A0();
1617
    else
1618
        gen_stack_update(s, (-2) << s->dflag);
1619
}
1620

    
1621
/* two step pop is necessary for precise exceptions */
1622
static void gen_pop_T0(DisasContext *s)
1623
{
1624
    gen_op_movl_A0_reg[R_ESP]();
1625
    if (s->ss32) {
1626
        if (s->addseg)
1627
            gen_op_addl_A0_SS();
1628
    } else {
1629
        gen_op_andl_A0_ffff();
1630
        gen_op_addl_A0_SS();
1631
    }
1632
    gen_op_ld_T0_A0[s->dflag + 1 + s->mem_index]();
1633
}
1634

    
1635
static void gen_pop_update(DisasContext *s)
1636
{
1637
    gen_stack_update(s, 2 << s->dflag);
1638
}
1639

    
1640
static void gen_stack_A0(DisasContext *s)
1641
{
1642
    gen_op_movl_A0_ESP();
1643
    if (!s->ss32)
1644
        gen_op_andl_A0_ffff();
1645
    gen_op_movl_T1_A0();
1646
    if (s->addseg)
1647
        gen_op_addl_A0_seg(offsetof(CPUX86State,segs[R_SS].base));
1648
}
1649

    
1650
/* NOTE: wrap around in 16 bit not fully handled */
1651
static void gen_pusha(DisasContext *s)
1652
{
1653
    int i;
1654
    gen_op_movl_A0_ESP();
1655
    gen_op_addl_A0_im(-16 <<  s->dflag);
1656
    if (!s->ss32)
1657
        gen_op_andl_A0_ffff();
1658
    gen_op_movl_T1_A0();
1659
    if (s->addseg)
1660
        gen_op_addl_A0_seg(offsetof(CPUX86State,segs[R_SS].base));
1661
    for(i = 0;i < 8; i++) {
1662
        gen_op_mov_TN_reg[OT_LONG][0][7 - i]();
1663
        gen_op_st_T0_A0[OT_WORD + s->dflag + s->mem_index]();
1664
        gen_op_addl_A0_im(2 <<  s->dflag);
1665
    }
1666
    gen_op_mov_reg_T1[OT_WORD + s->dflag][R_ESP]();
1667
}
1668

    
1669
/* NOTE: wrap around in 16 bit not fully handled */
1670
static void gen_popa(DisasContext *s)
1671
{
1672
    int i;
1673
    gen_op_movl_A0_ESP();
1674
    if (!s->ss32)
1675
        gen_op_andl_A0_ffff();
1676
    gen_op_movl_T1_A0();
1677
    gen_op_addl_T1_im(16 <<  s->dflag);
1678
    if (s->addseg)
1679
        gen_op_addl_A0_seg(offsetof(CPUX86State,segs[R_SS].base));
1680
    for(i = 0;i < 8; i++) {
1681
        /* ESP is not reloaded */
1682
        if (i != 3) {
1683
            gen_op_ld_T0_A0[OT_WORD + s->dflag + s->mem_index]();
1684
            gen_op_mov_reg_T0[OT_WORD + s->dflag][7 - i]();
1685
        }
1686
        gen_op_addl_A0_im(2 <<  s->dflag);
1687
    }
1688
    gen_op_mov_reg_T1[OT_WORD + s->dflag][R_ESP]();
1689
}
1690

    
1691
/* NOTE: wrap around in 16 bit not fully handled */
1692
/* XXX: check this */
1693
static void gen_enter(DisasContext *s, int esp_addend, int level)
1694
{
1695
    int ot, level1, addend, opsize;
1696

    
1697
    ot = s->dflag + OT_WORD;
1698
    level &= 0x1f;
1699
    level1 = level;
1700
    opsize = 2 << s->dflag;
1701

    
1702
    gen_op_movl_A0_ESP();
1703
    gen_op_addl_A0_im(-opsize);
1704
    if (!s->ss32)
1705
        gen_op_andl_A0_ffff();
1706
    gen_op_movl_T1_A0();
1707
    if (s->addseg)
1708
        gen_op_addl_A0_seg(offsetof(CPUX86State,segs[R_SS].base));
1709
    /* push bp */
1710
    gen_op_mov_TN_reg[OT_LONG][0][R_EBP]();
1711
    gen_op_st_T0_A0[ot + s->mem_index]();
1712
    if (level) {
1713
        while (level--) {
1714
            gen_op_addl_A0_im(-opsize);
1715
            gen_op_addl_T0_im(-opsize);
1716
            gen_op_st_T0_A0[ot + s->mem_index]();
1717
        }
1718
        gen_op_addl_A0_im(-opsize);
1719
        gen_op_st_T1_A0[ot + s->mem_index]();
1720
    }
1721
    gen_op_mov_reg_T1[ot][R_EBP]();
1722
    addend = -esp_addend;
1723
    if (level1)
1724
        addend -= opsize * (level1 + 1);
1725
    gen_op_addl_T1_im(addend);
1726
    gen_op_mov_reg_T1[ot][R_ESP]();
1727
}
1728

    
1729
static void gen_exception(DisasContext *s, int trapno, unsigned int cur_eip)
1730
{
1731
    if (s->cc_op != CC_OP_DYNAMIC)
1732
        gen_op_set_cc_op(s->cc_op);
1733
    gen_op_jmp_im(cur_eip);
1734
    gen_op_raise_exception(trapno);
1735
    s->is_jmp = 3;
1736
}
1737

    
1738
/* an interrupt is different from an exception because of the
1739
   priviledge checks */
1740
static void gen_interrupt(DisasContext *s, int intno, 
1741
                          unsigned int cur_eip, unsigned int next_eip)
1742
{
1743
    if (s->cc_op != CC_OP_DYNAMIC)
1744
        gen_op_set_cc_op(s->cc_op);
1745
    gen_op_jmp_im(cur_eip);
1746
    gen_op_raise_interrupt(intno, next_eip);
1747
    s->is_jmp = 3;
1748
}
1749

    
1750
static void gen_debug(DisasContext *s, unsigned int cur_eip)
1751
{
1752
    if (s->cc_op != CC_OP_DYNAMIC)
1753
        gen_op_set_cc_op(s->cc_op);
1754
    gen_op_jmp_im(cur_eip);
1755
    gen_op_debug();
1756
    s->is_jmp = 3;
1757
}
1758

    
1759
/* generate a generic end of block. Trace exception is also generated
1760
   if needed */
1761
static void gen_eob(DisasContext *s)
1762
{
1763
    if (s->cc_op != CC_OP_DYNAMIC)
1764
        gen_op_set_cc_op(s->cc_op);
1765
    if (s->tb->flags & HF_INHIBIT_IRQ_MASK) {
1766
        gen_op_reset_inhibit_irq();
1767
    }
1768
    if (s->singlestep_enabled) {
1769
        gen_op_debug();
1770
    } else if (s->tf) {
1771
        gen_op_raise_exception(EXCP01_SSTP);
1772
    } else {
1773
        gen_op_movl_T0_0();
1774
        gen_op_exit_tb();
1775
    }
1776
    s->is_jmp = 3;
1777
}
1778

    
1779
/* generate a jump to eip. No segment change must happen before as a
1780
   direct call to the next block may occur */
1781
static void gen_jmp(DisasContext *s, unsigned int eip)
1782
{
1783
    TranslationBlock *tb = s->tb;
1784

    
1785
    if (s->jmp_opt) {
1786
        if (s->cc_op != CC_OP_DYNAMIC)
1787
            gen_op_set_cc_op(s->cc_op);
1788
        gen_op_jmp((long)tb, eip);
1789
        s->is_jmp = 3;
1790
    } else {
1791
        gen_op_jmp_im(eip);
1792
        gen_eob(s);
1793
    }
1794
}
1795

    
1796
/* convert one instruction. s->is_jmp is set if the translation must
1797
   be stopped. Return the next pc value */
1798
static uint8_t *disas_insn(DisasContext *s, uint8_t *pc_start)
1799
{
1800
    int b, prefixes, aflag, dflag;
1801
    int shift, ot;
1802
    int modrm, reg, rm, mod, reg_addr, op, opreg, offset_addr, val;
1803
    unsigned int next_eip;
1804

    
1805
    s->pc = pc_start;
1806
    prefixes = 0;
1807
    aflag = s->code32;
1808
    dflag = s->code32;
1809
    s->override = -1;
1810
 next_byte:
1811
    b = ldub_code(s->pc);
1812
    s->pc++;
1813
    /* check prefixes */
1814
    switch (b) {
1815
    case 0xf3:
1816
        prefixes |= PREFIX_REPZ;
1817
        goto next_byte;
1818
    case 0xf2:
1819
        prefixes |= PREFIX_REPNZ;
1820
        goto next_byte;
1821
    case 0xf0:
1822
        prefixes |= PREFIX_LOCK;
1823
        goto next_byte;
1824
    case 0x2e:
1825
        s->override = R_CS;
1826
        goto next_byte;
1827
    case 0x36:
1828
        s->override = R_SS;
1829
        goto next_byte;
1830
    case 0x3e:
1831
        s->override = R_DS;
1832
        goto next_byte;
1833
    case 0x26:
1834
        s->override = R_ES;
1835
        goto next_byte;
1836
    case 0x64:
1837
        s->override = R_FS;
1838
        goto next_byte;
1839
    case 0x65:
1840
        s->override = R_GS;
1841
        goto next_byte;
1842
    case 0x66:
1843
        prefixes |= PREFIX_DATA;
1844
        goto next_byte;
1845
    case 0x67:
1846
        prefixes |= PREFIX_ADR;
1847
        goto next_byte;
1848
    }
1849

    
1850
    if (prefixes & PREFIX_DATA)
1851
        dflag ^= 1;
1852
    if (prefixes & PREFIX_ADR)
1853
        aflag ^= 1;
1854

    
1855
    s->prefix = prefixes;
1856
    s->aflag = aflag;
1857
    s->dflag = dflag;
1858

    
1859
    /* lock generation */
1860
    if (prefixes & PREFIX_LOCK)
1861
        gen_op_lock();
1862

    
1863
    /* now check op code */
1864
 reswitch:
1865
    switch(b) {
1866
    case 0x0f:
1867
        /**************************/
1868
        /* extended op code */
1869
        b = ldub_code(s->pc++) | 0x100;
1870
        goto reswitch;
1871
        
1872
        /**************************/
1873
        /* arith & logic */
1874
    case 0x00 ... 0x05:
1875
    case 0x08 ... 0x0d:
1876
    case 0x10 ... 0x15:
1877
    case 0x18 ... 0x1d:
1878
    case 0x20 ... 0x25:
1879
    case 0x28 ... 0x2d:
1880
    case 0x30 ... 0x35:
1881
    case 0x38 ... 0x3d:
1882
        {
1883
            int op, f, val;
1884
            op = (b >> 3) & 7;
1885
            f = (b >> 1) & 3;
1886

    
1887
            if ((b & 1) == 0)
1888
                ot = OT_BYTE;
1889
            else
1890
                ot = dflag ? OT_LONG : OT_WORD;
1891
            
1892
            switch(f) {
1893
            case 0: /* OP Ev, Gv */
1894
                modrm = ldub_code(s->pc++);
1895
                reg = ((modrm >> 3) & 7);
1896
                mod = (modrm >> 6) & 3;
1897
                rm = modrm & 7;
1898
                if (mod != 3) {
1899
                    gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
1900
                    opreg = OR_TMP0;
1901
                } else if (op == OP_XORL && rm == reg) {
1902
                xor_zero:
1903
                    /* xor reg, reg optimisation */
1904
                    gen_op_movl_T0_0();
1905
                    s->cc_op = CC_OP_LOGICB + ot;
1906
                    gen_op_mov_reg_T0[ot][reg]();
1907
                    gen_op_update1_cc();
1908
                    break;
1909
                } else {
1910
                    opreg = rm;
1911
                }
1912
                gen_op_mov_TN_reg[ot][1][reg]();
1913
                gen_op(s, op, ot, opreg);
1914
                break;
1915
            case 1: /* OP Gv, Ev */
1916
                modrm = ldub_code(s->pc++);
1917
                mod = (modrm >> 6) & 3;
1918
                reg = ((modrm >> 3) & 7);
1919
                rm = modrm & 7;
1920
                if (mod != 3) {
1921
                    gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
1922
                    gen_op_ld_T1_A0[ot + s->mem_index]();
1923
                } else if (op == OP_XORL && rm == reg) {
1924
                    goto xor_zero;
1925
                } else {
1926
                    gen_op_mov_TN_reg[ot][1][rm]();
1927
                }
1928
                gen_op(s, op, ot, reg);
1929
                break;
1930
            case 2: /* OP A, Iv */
1931
                val = insn_get(s, ot);
1932
                gen_op_movl_T1_im(val);
1933
                gen_op(s, op, ot, OR_EAX);
1934
                break;
1935
            }
1936
        }
1937
        break;
1938

    
1939
    case 0x80: /* GRP1 */
1940
    case 0x81:
1941
    case 0x83:
1942
        {
1943
            int val;
1944

    
1945
            if ((b & 1) == 0)
1946
                ot = OT_BYTE;
1947
            else
1948
                ot = dflag ? OT_LONG : OT_WORD;
1949
            
1950
            modrm = ldub_code(s->pc++);
1951
            mod = (modrm >> 6) & 3;
1952
            rm = modrm & 7;
1953
            op = (modrm >> 3) & 7;
1954
            
1955
            if (mod != 3) {
1956
                gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
1957
                opreg = OR_TMP0;
1958
            } else {
1959
                opreg = rm + OR_EAX;
1960
            }
1961

    
1962
            switch(b) {
1963
            default:
1964
            case 0x80:
1965
            case 0x81:
1966
                val = insn_get(s, ot);
1967
                break;
1968
            case 0x83:
1969
                val = (int8_t)insn_get(s, OT_BYTE);
1970
                break;
1971
            }
1972
            gen_op_movl_T1_im(val);
1973
            gen_op(s, op, ot, opreg);
1974
        }
1975
        break;
1976

    
1977
        /**************************/
1978
        /* inc, dec, and other misc arith */
1979
    case 0x40 ... 0x47: /* inc Gv */
1980
        ot = dflag ? OT_LONG : OT_WORD;
1981
        gen_inc(s, ot, OR_EAX + (b & 7), 1);
1982
        break;
1983
    case 0x48 ... 0x4f: /* dec Gv */
1984
        ot = dflag ? OT_LONG : OT_WORD;
1985
        gen_inc(s, ot, OR_EAX + (b & 7), -1);
1986
        break;
1987
    case 0xf6: /* GRP3 */
1988
    case 0xf7:
1989
        if ((b & 1) == 0)
1990
            ot = OT_BYTE;
1991
        else
1992
            ot = dflag ? OT_LONG : OT_WORD;
1993

    
1994
        modrm = ldub_code(s->pc++);
1995
        mod = (modrm >> 6) & 3;
1996
        rm = modrm & 7;
1997
        op = (modrm >> 3) & 7;
1998
        if (mod != 3) {
1999
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2000
            gen_op_ld_T0_A0[ot + s->mem_index]();
2001
        } else {
2002
            gen_op_mov_TN_reg[ot][0][rm]();
2003
        }
2004

    
2005
        switch(op) {
2006
        case 0: /* test */
2007
            val = insn_get(s, ot);
2008
            gen_op_movl_T1_im(val);
2009
            gen_op_testl_T0_T1_cc();
2010
            s->cc_op = CC_OP_LOGICB + ot;
2011
            break;
2012
        case 2: /* not */
2013
            gen_op_notl_T0();
2014
            if (mod != 3) {
2015
                gen_op_st_T0_A0[ot + s->mem_index]();
2016
            } else {
2017
                gen_op_mov_reg_T0[ot][rm]();
2018
            }
2019
            break;
2020
        case 3: /* neg */
2021
            gen_op_negl_T0();
2022
            if (mod != 3) {
2023
                gen_op_st_T0_A0[ot + s->mem_index]();
2024
            } else {
2025
                gen_op_mov_reg_T0[ot][rm]();
2026
            }
2027
            gen_op_update_neg_cc();
2028
            s->cc_op = CC_OP_SUBB + ot;
2029
            break;
2030
        case 4: /* mul */
2031
            switch(ot) {
2032
            case OT_BYTE:
2033
                gen_op_mulb_AL_T0();
2034
                s->cc_op = CC_OP_MULB;
2035
                break;
2036
            case OT_WORD:
2037
                gen_op_mulw_AX_T0();
2038
                s->cc_op = CC_OP_MULW;
2039
                break;
2040
            default:
2041
            case OT_LONG:
2042
                gen_op_mull_EAX_T0();
2043
                s->cc_op = CC_OP_MULL;
2044
                break;
2045
            }
2046
            break;
2047
        case 5: /* imul */
2048
            switch(ot) {
2049
            case OT_BYTE:
2050
                gen_op_imulb_AL_T0();
2051
                s->cc_op = CC_OP_MULB;
2052
                break;
2053
            case OT_WORD:
2054
                gen_op_imulw_AX_T0();
2055
                s->cc_op = CC_OP_MULW;
2056
                break;
2057
            default:
2058
            case OT_LONG:
2059
                gen_op_imull_EAX_T0();
2060
                s->cc_op = CC_OP_MULL;
2061
                break;
2062
            }
2063
            break;
2064
        case 6: /* div */
2065
            switch(ot) {
2066
            case OT_BYTE:
2067
                gen_op_divb_AL_T0(pc_start - s->cs_base);
2068
                break;
2069
            case OT_WORD:
2070
                gen_op_divw_AX_T0(pc_start - s->cs_base);
2071
                break;
2072
            default:
2073
            case OT_LONG:
2074
                gen_op_divl_EAX_T0(pc_start - s->cs_base);
2075
                break;
2076
            }
2077
            break;
2078
        case 7: /* idiv */
2079
            switch(ot) {
2080
            case OT_BYTE:
2081
                gen_op_idivb_AL_T0(pc_start - s->cs_base);
2082
                break;
2083
            case OT_WORD:
2084
                gen_op_idivw_AX_T0(pc_start - s->cs_base);
2085
                break;
2086
            default:
2087
            case OT_LONG:
2088
                gen_op_idivl_EAX_T0(pc_start - s->cs_base);
2089
                break;
2090
            }
2091
            break;
2092
        default:
2093
            goto illegal_op;
2094
        }
2095
        break;
2096

    
2097
    case 0xfe: /* GRP4 */
2098
    case 0xff: /* GRP5 */
2099
        if ((b & 1) == 0)
2100
            ot = OT_BYTE;
2101
        else
2102
            ot = dflag ? OT_LONG : OT_WORD;
2103

    
2104
        modrm = ldub_code(s->pc++);
2105
        mod = (modrm >> 6) & 3;
2106
        rm = modrm & 7;
2107
        op = (modrm >> 3) & 7;
2108
        if (op >= 2 && b == 0xfe) {
2109
            goto illegal_op;
2110
        }
2111
        if (mod != 3) {
2112
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2113
            if (op >= 2 && op != 3 && op != 5)
2114
                gen_op_ld_T0_A0[ot + s->mem_index]();
2115
        } else {
2116
            gen_op_mov_TN_reg[ot][0][rm]();
2117
        }
2118

    
2119
        switch(op) {
2120
        case 0: /* inc Ev */
2121
            if (mod != 3)
2122
                opreg = OR_TMP0;
2123
            else
2124
                opreg = rm;
2125
            gen_inc(s, ot, opreg, 1);
2126
            break;
2127
        case 1: /* dec Ev */
2128
            if (mod != 3)
2129
                opreg = OR_TMP0;
2130
            else
2131
                opreg = rm;
2132
            gen_inc(s, ot, opreg, -1);
2133
            break;
2134
        case 2: /* call Ev */
2135
            /* XXX: optimize if memory (no 'and' is necessary) */
2136
            if (s->dflag == 0)
2137
                gen_op_andl_T0_ffff();
2138
            next_eip = s->pc - s->cs_base;
2139
            gen_op_movl_T1_im(next_eip);
2140
            gen_push_T1(s);
2141
            gen_op_jmp_T0();
2142
            gen_eob(s);
2143
            break;
2144
        case 3: /* lcall Ev */
2145
            gen_op_ld_T1_A0[ot + s->mem_index]();
2146
            gen_op_addl_A0_im(1 << (ot - OT_WORD + 1));
2147
            gen_op_ldu_T0_A0[OT_WORD + s->mem_index]();
2148
        do_lcall:
2149
            if (s->pe && !s->vm86) {
2150
                if (s->cc_op != CC_OP_DYNAMIC)
2151
                    gen_op_set_cc_op(s->cc_op);
2152
                gen_op_jmp_im(pc_start - s->cs_base);
2153
                gen_op_lcall_protected_T0_T1(dflag, s->pc - s->cs_base);
2154
            } else {
2155
                gen_op_lcall_real_T0_T1(dflag, s->pc - s->cs_base);
2156
            }
2157
            gen_eob(s);
2158
            break;
2159
        case 4: /* jmp Ev */
2160
            if (s->dflag == 0)
2161
                gen_op_andl_T0_ffff();
2162
            gen_op_jmp_T0();
2163
            gen_eob(s);
2164
            break;
2165
        case 5: /* ljmp Ev */
2166
            gen_op_ld_T1_A0[ot + s->mem_index]();
2167
            gen_op_addl_A0_im(1 << (ot - OT_WORD + 1));
2168
            gen_op_ldu_T0_A0[OT_WORD + s->mem_index]();
2169
        do_ljmp:
2170
            if (s->pe && !s->vm86) {
2171
                if (s->cc_op != CC_OP_DYNAMIC)
2172
                    gen_op_set_cc_op(s->cc_op);
2173
                gen_op_jmp_im(pc_start - s->cs_base);
2174
                gen_op_ljmp_protected_T0_T1();
2175
            } else {
2176
                gen_op_movl_seg_T0_vm(offsetof(CPUX86State,segs[R_CS]));
2177
                gen_op_movl_T0_T1();
2178
                gen_op_jmp_T0();
2179
            }
2180
            gen_eob(s);
2181
            break;
2182
        case 6: /* push Ev */
2183
            gen_push_T0(s);
2184
            break;
2185
        default:
2186
            goto illegal_op;
2187
        }
2188
        break;
2189

    
2190
    case 0x84: /* test Ev, Gv */
2191
    case 0x85: 
2192
        if ((b & 1) == 0)
2193
            ot = OT_BYTE;
2194
        else
2195
            ot = dflag ? OT_LONG : OT_WORD;
2196

    
2197
        modrm = ldub_code(s->pc++);
2198
        mod = (modrm >> 6) & 3;
2199
        rm = modrm & 7;
2200
        reg = (modrm >> 3) & 7;
2201
        
2202
        gen_ldst_modrm(s, modrm, ot, OR_TMP0, 0);
2203
        gen_op_mov_TN_reg[ot][1][reg + OR_EAX]();
2204
        gen_op_testl_T0_T1_cc();
2205
        s->cc_op = CC_OP_LOGICB + ot;
2206
        break;
2207
        
2208
    case 0xa8: /* test eAX, Iv */
2209
    case 0xa9:
2210
        if ((b & 1) == 0)
2211
            ot = OT_BYTE;
2212
        else
2213
            ot = dflag ? OT_LONG : OT_WORD;
2214
        val = insn_get(s, ot);
2215

    
2216
        gen_op_mov_TN_reg[ot][0][OR_EAX]();
2217
        gen_op_movl_T1_im(val);
2218
        gen_op_testl_T0_T1_cc();
2219
        s->cc_op = CC_OP_LOGICB + ot;
2220
        break;
2221
        
2222
    case 0x98: /* CWDE/CBW */
2223
        if (dflag)
2224
            gen_op_movswl_EAX_AX();
2225
        else
2226
            gen_op_movsbw_AX_AL();
2227
        break;
2228
    case 0x99: /* CDQ/CWD */
2229
        if (dflag)
2230
            gen_op_movslq_EDX_EAX();
2231
        else
2232
            gen_op_movswl_DX_AX();
2233
        break;
2234
    case 0x1af: /* imul Gv, Ev */
2235
    case 0x69: /* imul Gv, Ev, I */
2236
    case 0x6b:
2237
        ot = dflag ? OT_LONG : OT_WORD;
2238
        modrm = ldub_code(s->pc++);
2239
        reg = ((modrm >> 3) & 7) + OR_EAX;
2240
        gen_ldst_modrm(s, modrm, ot, OR_TMP0, 0);
2241
        if (b == 0x69) {
2242
            val = insn_get(s, ot);
2243
            gen_op_movl_T1_im(val);
2244
        } else if (b == 0x6b) {
2245
            val = insn_get(s, OT_BYTE);
2246
            gen_op_movl_T1_im(val);
2247
        } else {
2248
            gen_op_mov_TN_reg[ot][1][reg]();
2249
        }
2250

    
2251
        if (ot == OT_LONG) {
2252
            gen_op_imull_T0_T1();
2253
        } else {
2254
            gen_op_imulw_T0_T1();
2255
        }
2256
        gen_op_mov_reg_T0[ot][reg]();
2257
        s->cc_op = CC_OP_MULB + ot;
2258
        break;
2259
    case 0x1c0:
2260
    case 0x1c1: /* xadd Ev, Gv */
2261
        if ((b & 1) == 0)
2262
            ot = OT_BYTE;
2263
        else
2264
            ot = dflag ? OT_LONG : OT_WORD;
2265
        modrm = ldub_code(s->pc++);
2266
        reg = (modrm >> 3) & 7;
2267
        mod = (modrm >> 6) & 3;
2268
        if (mod == 3) {
2269
            rm = modrm & 7;
2270
            gen_op_mov_TN_reg[ot][0][reg]();
2271
            gen_op_mov_TN_reg[ot][1][rm]();
2272
            gen_op_addl_T0_T1();
2273
            gen_op_mov_reg_T1[ot][reg]();
2274
            gen_op_mov_reg_T0[ot][rm]();
2275
        } else {
2276
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2277
            gen_op_mov_TN_reg[ot][0][reg]();
2278
            gen_op_ld_T1_A0[ot + s->mem_index]();
2279
            gen_op_addl_T0_T1();
2280
            gen_op_st_T0_A0[ot + s->mem_index]();
2281
            gen_op_mov_reg_T1[ot][reg]();
2282
        }
2283
        gen_op_update2_cc();
2284
        s->cc_op = CC_OP_ADDB + ot;
2285
        break;
2286
    case 0x1b0:
2287
    case 0x1b1: /* cmpxchg Ev, Gv */
2288
        if ((b & 1) == 0)
2289
            ot = OT_BYTE;
2290
        else
2291
            ot = dflag ? OT_LONG : OT_WORD;
2292
        modrm = ldub_code(s->pc++);
2293
        reg = (modrm >> 3) & 7;
2294
        mod = (modrm >> 6) & 3;
2295
        gen_op_mov_TN_reg[ot][1][reg]();
2296
        if (mod == 3) {
2297
            rm = modrm & 7;
2298
            gen_op_mov_TN_reg[ot][0][rm]();
2299
            gen_op_cmpxchg_T0_T1_EAX_cc[ot]();
2300
            gen_op_mov_reg_T0[ot][rm]();
2301
        } else {
2302
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2303
            gen_op_ld_T0_A0[ot + s->mem_index]();
2304
            gen_op_cmpxchg_mem_T0_T1_EAX_cc[ot + s->mem_index]();
2305
        }
2306
        s->cc_op = CC_OP_SUBB + ot;
2307
        break;
2308
    case 0x1c7: /* cmpxchg8b */
2309
        modrm = ldub_code(s->pc++);
2310
        mod = (modrm >> 6) & 3;
2311
        if (mod == 3)
2312
            goto illegal_op;
2313
        if (s->cc_op != CC_OP_DYNAMIC)
2314
            gen_op_set_cc_op(s->cc_op);
2315
        gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2316
        gen_op_cmpxchg8b();
2317
        s->cc_op = CC_OP_EFLAGS;
2318
        break;
2319
        
2320
        /**************************/
2321
        /* push/pop */
2322
    case 0x50 ... 0x57: /* push */
2323
        gen_op_mov_TN_reg[OT_LONG][0][b & 7]();
2324
        gen_push_T0(s);
2325
        break;
2326
    case 0x58 ... 0x5f: /* pop */
2327
        ot = dflag ? OT_LONG : OT_WORD;
2328
        gen_pop_T0(s);
2329
        /* NOTE: order is important for pop %sp */
2330
        gen_pop_update(s);
2331
        gen_op_mov_reg_T0[ot][b & 7]();
2332
        break;
2333
    case 0x60: /* pusha */
2334
        gen_pusha(s);
2335
        break;
2336
    case 0x61: /* popa */
2337
        gen_popa(s);
2338
        break;
2339
    case 0x68: /* push Iv */
2340
    case 0x6a:
2341
        ot = dflag ? OT_LONG : OT_WORD;
2342
        if (b == 0x68)
2343
            val = insn_get(s, ot);
2344
        else
2345
            val = (int8_t)insn_get(s, OT_BYTE);
2346
        gen_op_movl_T0_im(val);
2347
        gen_push_T0(s);
2348
        break;
2349
    case 0x8f: /* pop Ev */
2350
        ot = dflag ? OT_LONG : OT_WORD;
2351
        modrm = ldub_code(s->pc++);
2352
        mod = (modrm >> 6) & 3;
2353
        gen_pop_T0(s);
2354
        if (mod == 3) {
2355
            /* NOTE: order is important for pop %sp */
2356
            gen_pop_update(s);
2357
            rm = modrm & 7;
2358
            gen_op_mov_reg_T0[ot][rm]();
2359
        } else {
2360
            /* NOTE: order is important too for MMU exceptions */
2361
            s->popl_esp_hack = 2 << dflag;
2362
            gen_ldst_modrm(s, modrm, ot, OR_TMP0, 1);
2363
            s->popl_esp_hack = 0;
2364
            gen_pop_update(s);
2365
        }
2366
        break;
2367
    case 0xc8: /* enter */
2368
        {
2369
            int level;
2370
            val = lduw_code(s->pc);
2371
            s->pc += 2;
2372
            level = ldub_code(s->pc++);
2373
            gen_enter(s, val, level);
2374
        }
2375
        break;
2376
    case 0xc9: /* leave */
2377
        /* XXX: exception not precise (ESP is updated before potential exception) */
2378
        if (s->ss32) {
2379
            gen_op_mov_TN_reg[OT_LONG][0][R_EBP]();
2380
            gen_op_mov_reg_T0[OT_LONG][R_ESP]();
2381
        } else {
2382
            gen_op_mov_TN_reg[OT_WORD][0][R_EBP]();
2383
            gen_op_mov_reg_T0[OT_WORD][R_ESP]();
2384
        }
2385
        gen_pop_T0(s);
2386
        ot = dflag ? OT_LONG : OT_WORD;
2387
        gen_op_mov_reg_T0[ot][R_EBP]();
2388
        gen_pop_update(s);
2389
        break;
2390
    case 0x06: /* push es */
2391
    case 0x0e: /* push cs */
2392
    case 0x16: /* push ss */
2393
    case 0x1e: /* push ds */
2394
        gen_op_movl_T0_seg(b >> 3);
2395
        gen_push_T0(s);
2396
        break;
2397
    case 0x1a0: /* push fs */
2398
    case 0x1a8: /* push gs */
2399
        gen_op_movl_T0_seg((b >> 3) & 7);
2400
        gen_push_T0(s);
2401
        break;
2402
    case 0x07: /* pop es */
2403
    case 0x17: /* pop ss */
2404
    case 0x1f: /* pop ds */
2405
        reg = b >> 3;
2406
        gen_pop_T0(s);
2407
        gen_movl_seg_T0(s, reg, pc_start - s->cs_base);
2408
        gen_pop_update(s);
2409
        if (reg == R_SS) {
2410
            /* if reg == SS, inhibit interrupts/trace. */
2411
            /* If several instructions disable interrupts, only the
2412
               _first_ does it */
2413
            if (!(s->tb->flags & HF_INHIBIT_IRQ_MASK))
2414
                gen_op_set_inhibit_irq();
2415
            s->tf = 0;
2416
        }
2417
        if (s->is_jmp) {
2418
            gen_op_jmp_im(s->pc - s->cs_base);
2419
            gen_eob(s);
2420
        }
2421
        break;
2422
    case 0x1a1: /* pop fs */
2423
    case 0x1a9: /* pop gs */
2424
        gen_pop_T0(s);
2425
        gen_movl_seg_T0(s, (b >> 3) & 7, pc_start - s->cs_base);
2426
        gen_pop_update(s);
2427
        if (s->is_jmp) {
2428
            gen_op_jmp_im(s->pc - s->cs_base);
2429
            gen_eob(s);
2430
        }
2431
        break;
2432

    
2433
        /**************************/
2434
        /* mov */
2435
    case 0x88:
2436
    case 0x89: /* mov Gv, Ev */
2437
        if ((b & 1) == 0)
2438
            ot = OT_BYTE;
2439
        else
2440
            ot = dflag ? OT_LONG : OT_WORD;
2441
        modrm = ldub_code(s->pc++);
2442
        reg = (modrm >> 3) & 7;
2443
        
2444
        /* generate a generic store */
2445
        gen_ldst_modrm(s, modrm, ot, OR_EAX + reg, 1);
2446
        break;
2447
    case 0xc6:
2448
    case 0xc7: /* mov Ev, Iv */
2449
        if ((b & 1) == 0)
2450
            ot = OT_BYTE;
2451
        else
2452
            ot = dflag ? OT_LONG : OT_WORD;
2453
        modrm = ldub_code(s->pc++);
2454
        mod = (modrm >> 6) & 3;
2455
        if (mod != 3)
2456
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2457
        val = insn_get(s, ot);
2458
        gen_op_movl_T0_im(val);
2459
        if (mod != 3)
2460
            gen_op_st_T0_A0[ot + s->mem_index]();
2461
        else
2462
            gen_op_mov_reg_T0[ot][modrm & 7]();
2463
        break;
2464
    case 0x8a:
2465
    case 0x8b: /* mov Ev, Gv */
2466
        if ((b & 1) == 0)
2467
            ot = OT_BYTE;
2468
        else
2469
            ot = dflag ? OT_LONG : OT_WORD;
2470
        modrm = ldub_code(s->pc++);
2471
        reg = (modrm >> 3) & 7;
2472
        
2473
        gen_ldst_modrm(s, modrm, ot, OR_TMP0, 0);
2474
        gen_op_mov_reg_T0[ot][reg]();
2475
        break;
2476
    case 0x8e: /* mov seg, Gv */
2477
        modrm = ldub_code(s->pc++);
2478
        reg = (modrm >> 3) & 7;
2479
        if (reg >= 6 || reg == R_CS)
2480
            goto illegal_op;
2481
        gen_ldst_modrm(s, modrm, OT_WORD, OR_TMP0, 0);
2482
        gen_movl_seg_T0(s, reg, pc_start - s->cs_base);
2483
        if (reg == R_SS) {
2484
            /* if reg == SS, inhibit interrupts/trace */
2485
            /* If several instructions disable interrupts, only the
2486
               _first_ does it */
2487
            if (!(s->tb->flags & HF_INHIBIT_IRQ_MASK))
2488
                gen_op_set_inhibit_irq();
2489
            s->tf = 0;
2490
        }
2491
        if (s->is_jmp) {
2492
            gen_op_jmp_im(s->pc - s->cs_base);
2493
            gen_eob(s);
2494
        }
2495
        break;
2496
    case 0x8c: /* mov Gv, seg */
2497
        modrm = ldub_code(s->pc++);
2498
        reg = (modrm >> 3) & 7;
2499
        mod = (modrm >> 6) & 3;
2500
        if (reg >= 6)
2501
            goto illegal_op;
2502
        gen_op_movl_T0_seg(reg);
2503
        ot = OT_WORD;
2504
        if (mod == 3 && dflag)
2505
            ot = OT_LONG;
2506
        gen_ldst_modrm(s, modrm, ot, OR_TMP0, 1);
2507
        break;
2508

    
2509
    case 0x1b6: /* movzbS Gv, Eb */
2510
    case 0x1b7: /* movzwS Gv, Eb */
2511
    case 0x1be: /* movsbS Gv, Eb */
2512
    case 0x1bf: /* movswS Gv, Eb */
2513
        {
2514
            int d_ot;
2515
            /* d_ot is the size of destination */
2516
            d_ot = dflag + OT_WORD;
2517
            /* ot is the size of source */
2518
            ot = (b & 1) + OT_BYTE;
2519
            modrm = ldub_code(s->pc++);
2520
            reg = ((modrm >> 3) & 7) + OR_EAX;
2521
            mod = (modrm >> 6) & 3;
2522
            rm = modrm & 7;
2523
            
2524
            if (mod == 3) {
2525
                gen_op_mov_TN_reg[ot][0][rm]();
2526
                switch(ot | (b & 8)) {
2527
                case OT_BYTE:
2528
                    gen_op_movzbl_T0_T0();
2529
                    break;
2530
                case OT_BYTE | 8:
2531
                    gen_op_movsbl_T0_T0();
2532
                    break;
2533
                case OT_WORD:
2534
                    gen_op_movzwl_T0_T0();
2535
                    break;
2536
                default:
2537
                case OT_WORD | 8:
2538
                    gen_op_movswl_T0_T0();
2539
                    break;
2540
                }
2541
                gen_op_mov_reg_T0[d_ot][reg]();
2542
            } else {
2543
                gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2544
                if (b & 8) {
2545
                    gen_op_lds_T0_A0[ot + s->mem_index]();
2546
                } else {
2547
                    gen_op_ldu_T0_A0[ot + s->mem_index]();
2548
                }
2549
                gen_op_mov_reg_T0[d_ot][reg]();
2550
            }
2551
        }
2552
        break;
2553

    
2554
    case 0x8d: /* lea */
2555
        ot = dflag ? OT_LONG : OT_WORD;
2556
        modrm = ldub_code(s->pc++);
2557
        reg = (modrm >> 3) & 7;
2558
        /* we must ensure that no segment is added */
2559
        s->override = -1;
2560
        val = s->addseg;
2561
        s->addseg = 0;
2562
        gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2563
        s->addseg = val;
2564
        gen_op_mov_reg_A0[ot - OT_WORD][reg]();
2565
        break;
2566
        
2567
    case 0xa0: /* mov EAX, Ov */
2568
    case 0xa1:
2569
    case 0xa2: /* mov Ov, EAX */
2570
    case 0xa3:
2571
        if ((b & 1) == 0)
2572
            ot = OT_BYTE;
2573
        else
2574
            ot = dflag ? OT_LONG : OT_WORD;
2575
        if (s->aflag)
2576
            offset_addr = insn_get(s, OT_LONG);
2577
        else
2578
            offset_addr = insn_get(s, OT_WORD);
2579
        gen_op_movl_A0_im(offset_addr);
2580
        /* handle override */
2581
        {
2582
            int override, must_add_seg;
2583
            must_add_seg = s->addseg;
2584
            if (s->override >= 0) {
2585
                override = s->override;
2586
                must_add_seg = 1;
2587
            } else {
2588
                override = R_DS;
2589
            }
2590
            if (must_add_seg) {
2591
                gen_op_addl_A0_seg(offsetof(CPUX86State,segs[override].base));
2592
            }
2593
        }
2594
        if ((b & 2) == 0) {
2595
            gen_op_ld_T0_A0[ot + s->mem_index]();
2596
            gen_op_mov_reg_T0[ot][R_EAX]();
2597
        } else {
2598
            gen_op_mov_TN_reg[ot][0][R_EAX]();
2599
            gen_op_st_T0_A0[ot + s->mem_index]();
2600
        }
2601
        break;
2602
    case 0xd7: /* xlat */
2603
        gen_op_movl_A0_reg[R_EBX]();
2604
        gen_op_addl_A0_AL();
2605
        if (s->aflag == 0)
2606
            gen_op_andl_A0_ffff();
2607
        /* handle override */
2608
        {
2609
            int override, must_add_seg;
2610
            must_add_seg = s->addseg;
2611
            override = R_DS;
2612
            if (s->override >= 0) {
2613
                override = s->override;
2614
                must_add_seg = 1;
2615
            } else {
2616
                override = R_DS;
2617
            }
2618
            if (must_add_seg) {
2619
                gen_op_addl_A0_seg(offsetof(CPUX86State,segs[override].base));
2620
            }
2621
        }
2622
        gen_op_ldu_T0_A0[OT_BYTE + s->mem_index]();
2623
        gen_op_mov_reg_T0[OT_BYTE][R_EAX]();
2624
        break;
2625
    case 0xb0 ... 0xb7: /* mov R, Ib */
2626
        val = insn_get(s, OT_BYTE);
2627
        gen_op_movl_T0_im(val);
2628
        gen_op_mov_reg_T0[OT_BYTE][b & 7]();
2629
        break;
2630
    case 0xb8 ... 0xbf: /* mov R, Iv */
2631
        ot = dflag ? OT_LONG : OT_WORD;
2632
        val = insn_get(s, ot);
2633
        reg = OR_EAX + (b & 7);
2634
        gen_op_movl_T0_im(val);
2635
        gen_op_mov_reg_T0[ot][reg]();
2636
        break;
2637

    
2638
    case 0x91 ... 0x97: /* xchg R, EAX */
2639
        ot = dflag ? OT_LONG : OT_WORD;
2640
        reg = b & 7;
2641
        rm = R_EAX;
2642
        goto do_xchg_reg;
2643
    case 0x86:
2644
    case 0x87: /* xchg Ev, Gv */
2645
        if ((b & 1) == 0)
2646
            ot = OT_BYTE;
2647
        else
2648
            ot = dflag ? OT_LONG : OT_WORD;
2649
        modrm = ldub_code(s->pc++);
2650
        reg = (modrm >> 3) & 7;
2651
        mod = (modrm >> 6) & 3;
2652
        if (mod == 3) {
2653
            rm = modrm & 7;
2654
        do_xchg_reg:
2655
            gen_op_mov_TN_reg[ot][0][reg]();
2656
            gen_op_mov_TN_reg[ot][1][rm]();
2657
            gen_op_mov_reg_T0[ot][rm]();
2658
            gen_op_mov_reg_T1[ot][reg]();
2659
        } else {
2660
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2661
            gen_op_mov_TN_reg[ot][0][reg]();
2662
            /* for xchg, lock is implicit */
2663
            if (!(prefixes & PREFIX_LOCK))
2664
                gen_op_lock();
2665
            gen_op_ld_T1_A0[ot + s->mem_index]();
2666
            gen_op_st_T0_A0[ot + s->mem_index]();
2667
            if (!(prefixes & PREFIX_LOCK))
2668
                gen_op_unlock();
2669
            gen_op_mov_reg_T1[ot][reg]();
2670
        }
2671
        break;
2672
    case 0xc4: /* les Gv */
2673
        op = R_ES;
2674
        goto do_lxx;
2675
    case 0xc5: /* lds Gv */
2676
        op = R_DS;
2677
        goto do_lxx;
2678
    case 0x1b2: /* lss Gv */
2679
        op = R_SS;
2680
        goto do_lxx;
2681
    case 0x1b4: /* lfs Gv */
2682
        op = R_FS;
2683
        goto do_lxx;
2684
    case 0x1b5: /* lgs Gv */
2685
        op = R_GS;
2686
    do_lxx:
2687
        ot = dflag ? OT_LONG : OT_WORD;
2688
        modrm = ldub_code(s->pc++);
2689
        reg = (modrm >> 3) & 7;
2690
        mod = (modrm >> 6) & 3;
2691
        if (mod == 3)
2692
            goto illegal_op;
2693
        gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2694
        gen_op_ld_T1_A0[ot + s->mem_index]();
2695
        gen_op_addl_A0_im(1 << (ot - OT_WORD + 1));
2696
        /* load the segment first to handle exceptions properly */
2697
        gen_op_ldu_T0_A0[OT_WORD + s->mem_index]();
2698
        gen_movl_seg_T0(s, op, pc_start - s->cs_base);
2699
        /* then put the data */
2700
        gen_op_mov_reg_T1[ot][reg]();
2701
        if (s->is_jmp) {
2702
            gen_op_jmp_im(s->pc - s->cs_base);
2703
            gen_eob(s);
2704
        }
2705
        break;
2706
        
2707
        /************************/
2708
        /* shifts */
2709
    case 0xc0:
2710
    case 0xc1:
2711
        /* shift Ev,Ib */
2712
        shift = 2;
2713
    grp2:
2714
        {
2715
            if ((b & 1) == 0)
2716
                ot = OT_BYTE;
2717
            else
2718
                ot = dflag ? OT_LONG : OT_WORD;
2719
            
2720
            modrm = ldub_code(s->pc++);
2721
            mod = (modrm >> 6) & 3;
2722
            rm = modrm & 7;
2723
            op = (modrm >> 3) & 7;
2724
            
2725
            if (mod != 3) {
2726
                gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2727
                opreg = OR_TMP0;
2728
            } else {
2729
                opreg = rm + OR_EAX;
2730
            }
2731

    
2732
            /* simpler op */
2733
            if (shift == 0) {
2734
                gen_shift(s, op, ot, opreg, OR_ECX);
2735
            } else {
2736
                if (shift == 2) {
2737
                    shift = ldub_code(s->pc++);
2738
                }
2739
                gen_shifti(s, op, ot, opreg, shift);
2740
            }
2741
        }
2742
        break;
2743
    case 0xd0:
2744
    case 0xd1:
2745
        /* shift Ev,1 */
2746
        shift = 1;
2747
        goto grp2;
2748
    case 0xd2:
2749
    case 0xd3:
2750
        /* shift Ev,cl */
2751
        shift = 0;
2752
        goto grp2;
2753

    
2754
    case 0x1a4: /* shld imm */
2755
        op = 0;
2756
        shift = 1;
2757
        goto do_shiftd;
2758
    case 0x1a5: /* shld cl */
2759
        op = 0;
2760
        shift = 0;
2761
        goto do_shiftd;
2762
    case 0x1ac: /* shrd imm */
2763
        op = 1;
2764
        shift = 1;
2765
        goto do_shiftd;
2766
    case 0x1ad: /* shrd cl */
2767
        op = 1;
2768
        shift = 0;
2769
    do_shiftd:
2770
        ot = dflag ? OT_LONG : OT_WORD;
2771
        modrm = ldub_code(s->pc++);
2772
        mod = (modrm >> 6) & 3;
2773
        rm = modrm & 7;
2774
        reg = (modrm >> 3) & 7;
2775
        
2776
        if (mod != 3) {
2777
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2778
            gen_op_ld_T0_A0[ot + s->mem_index]();
2779
        } else {
2780
            gen_op_mov_TN_reg[ot][0][rm]();
2781
        }
2782
        gen_op_mov_TN_reg[ot][1][reg]();
2783
        
2784
        if (shift) {
2785
            val = ldub_code(s->pc++);
2786
            val &= 0x1f;
2787
            if (val) {
2788
                if (mod == 3)
2789
                    gen_op_shiftd_T0_T1_im_cc[ot][op](val);
2790
                else
2791
                    gen_op_shiftd_mem_T0_T1_im_cc[ot + s->mem_index][op](val);
2792
                if (op == 0 && ot != OT_WORD)
2793
                    s->cc_op = CC_OP_SHLB + ot;
2794
                else
2795
                    s->cc_op = CC_OP_SARB + ot;
2796
            }
2797
        } else {
2798
            if (s->cc_op != CC_OP_DYNAMIC)
2799
                gen_op_set_cc_op(s->cc_op);
2800
            if (mod == 3)
2801
                gen_op_shiftd_T0_T1_ECX_cc[ot][op]();
2802
            else
2803
                gen_op_shiftd_mem_T0_T1_ECX_cc[ot + s->mem_index][op]();
2804
            s->cc_op = CC_OP_DYNAMIC; /* cannot predict flags after */
2805
        }
2806
        if (mod == 3) {
2807
            gen_op_mov_reg_T0[ot][rm]();
2808
        }
2809
        break;
2810

    
2811
        /************************/
2812
        /* floats */
2813
    case 0xd8 ... 0xdf: 
2814
        modrm = ldub_code(s->pc++);
2815
        mod = (modrm >> 6) & 3;
2816
        rm = modrm & 7;
2817
        op = ((b & 7) << 3) | ((modrm >> 3) & 7);
2818

    
2819
        if (mod != 3) {
2820
            /* memory op */
2821
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
2822
            switch(op) {
2823
            case 0x00 ... 0x07: /* fxxxs */
2824
            case 0x10 ... 0x17: /* fixxxl */
2825
            case 0x20 ... 0x27: /* fxxxl */
2826
            case 0x30 ... 0x37: /* fixxx */
2827
                {
2828
                    int op1;
2829
                    op1 = op & 7;
2830

    
2831
                    switch(op >> 4) {
2832
                    case 0:
2833
                        gen_op_flds_FT0_A0();
2834
                        break;
2835
                    case 1:
2836
                        gen_op_fildl_FT0_A0();
2837
                        break;
2838
                    case 2:
2839
                        gen_op_fldl_FT0_A0();
2840
                        break;
2841
                    case 3:
2842
                    default:
2843
                        gen_op_fild_FT0_A0();
2844
                        break;
2845
                    }
2846
                    
2847
                    gen_op_fp_arith_ST0_FT0[op1]();
2848
                    if (op1 == 3) {
2849
                        /* fcomp needs pop */
2850
                        gen_op_fpop();
2851
                    }
2852
                }
2853
                break;
2854
            case 0x08: /* flds */
2855
            case 0x0a: /* fsts */
2856
            case 0x0b: /* fstps */
2857
            case 0x18: /* fildl */
2858
            case 0x1a: /* fistl */
2859
            case 0x1b: /* fistpl */
2860
            case 0x28: /* fldl */
2861
            case 0x2a: /* fstl */
2862
            case 0x2b: /* fstpl */
2863
            case 0x38: /* filds */
2864
            case 0x3a: /* fists */
2865
            case 0x3b: /* fistps */
2866
                
2867
                switch(op & 7) {
2868
                case 0:
2869
                    switch(op >> 4) {
2870
                    case 0:
2871
                        gen_op_flds_ST0_A0();
2872
                        break;
2873
                    case 1:
2874
                        gen_op_fildl_ST0_A0();
2875
                        break;
2876
                    case 2:
2877
                        gen_op_fldl_ST0_A0();
2878
                        break;
2879
                    case 3:
2880
                    default:
2881
                        gen_op_fild_ST0_A0();
2882
                        break;
2883
                    }
2884
                    break;
2885
                default:
2886
                    switch(op >> 4) {
2887
                    case 0:
2888
                        gen_op_fsts_ST0_A0();
2889
                        break;
2890
                    case 1:
2891
                        gen_op_fistl_ST0_A0();
2892
                        break;
2893
                    case 2:
2894
                        gen_op_fstl_ST0_A0();
2895
                        break;
2896
                    case 3:
2897
                    default:
2898
                        gen_op_fist_ST0_A0();
2899
                        break;
2900
                    }
2901
                    if ((op & 7) == 3)
2902
                        gen_op_fpop();
2903
                    break;
2904
                }
2905
                break;
2906
            case 0x0c: /* fldenv mem */
2907
                gen_op_fldenv_A0(s->dflag);
2908
                break;
2909
            case 0x0d: /* fldcw mem */
2910
                gen_op_fldcw_A0();
2911
                break;
2912
            case 0x0e: /* fnstenv mem */
2913
                gen_op_fnstenv_A0(s->dflag);
2914
                break;
2915
            case 0x0f: /* fnstcw mem */
2916
                gen_op_fnstcw_A0();
2917
                break;
2918
            case 0x1d: /* fldt mem */
2919
                gen_op_fldt_ST0_A0();
2920
                break;
2921
            case 0x1f: /* fstpt mem */
2922
                gen_op_fstt_ST0_A0();
2923
                gen_op_fpop();
2924
                break;
2925
            case 0x2c: /* frstor mem */
2926
                gen_op_frstor_A0(s->dflag);
2927
                break;
2928
            case 0x2e: /* fnsave mem */
2929
                gen_op_fnsave_A0(s->dflag);
2930
                break;
2931
            case 0x2f: /* fnstsw mem */
2932
                gen_op_fnstsw_A0();
2933
                break;
2934
            case 0x3c: /* fbld */
2935
                gen_op_fbld_ST0_A0();
2936
                break;
2937
            case 0x3e: /* fbstp */
2938
                gen_op_fbst_ST0_A0();
2939
                gen_op_fpop();
2940
                break;
2941
            case 0x3d: /* fildll */
2942
                gen_op_fildll_ST0_A0();
2943
                break;
2944
            case 0x3f: /* fistpll */
2945
                gen_op_fistll_ST0_A0();
2946
                gen_op_fpop();
2947
                break;
2948
            default:
2949
                goto illegal_op;
2950
            }
2951
        } else {
2952
            /* register float ops */
2953
            opreg = rm;
2954

    
2955
            switch(op) {
2956
            case 0x08: /* fld sti */
2957
                gen_op_fpush();
2958
                gen_op_fmov_ST0_STN((opreg + 1) & 7);
2959
                break;
2960
            case 0x09: /* fxchg sti */
2961
                gen_op_fxchg_ST0_STN(opreg);
2962
                break;
2963
            case 0x0a: /* grp d9/2 */
2964
                switch(rm) {
2965
                case 0: /* fnop */
2966
                    break;
2967
                default:
2968
                    goto illegal_op;
2969
                }
2970
                break;
2971
            case 0x0c: /* grp d9/4 */
2972
                switch(rm) {
2973
                case 0: /* fchs */
2974
                    gen_op_fchs_ST0();
2975
                    break;
2976
                case 1: /* fabs */
2977
                    gen_op_fabs_ST0();
2978
                    break;
2979
                case 4: /* ftst */
2980
                    gen_op_fldz_FT0();
2981
                    gen_op_fcom_ST0_FT0();
2982
                    break;
2983
                case 5: /* fxam */
2984
                    gen_op_fxam_ST0();
2985
                    break;
2986
                default:
2987
                    goto illegal_op;
2988
                }
2989
                break;
2990
            case 0x0d: /* grp d9/5 */
2991
                {
2992
                    switch(rm) {
2993
                    case 0:
2994
                        gen_op_fpush();
2995
                        gen_op_fld1_ST0();
2996
                        break;
2997
                    case 1:
2998
                        gen_op_fpush();
2999
                        gen_op_fldl2t_ST0();
3000
                        break;
3001
                    case 2:
3002
                        gen_op_fpush();
3003
                        gen_op_fldl2e_ST0();
3004
                        break;
3005
                    case 3:
3006
                        gen_op_fpush();
3007
                        gen_op_fldpi_ST0();
3008
                        break;
3009
                    case 4:
3010
                        gen_op_fpush();
3011
                        gen_op_fldlg2_ST0();
3012
                        break;
3013
                    case 5:
3014
                        gen_op_fpush();
3015
                        gen_op_fldln2_ST0();
3016
                        break;
3017
                    case 6:
3018
                        gen_op_fpush();
3019
                        gen_op_fldz_ST0();
3020
                        break;
3021
                    default:
3022
                        goto illegal_op;
3023
                    }
3024
                }
3025
                break;
3026
            case 0x0e: /* grp d9/6 */
3027
                switch(rm) {
3028
                case 0: /* f2xm1 */
3029
                    gen_op_f2xm1();
3030
                    break;
3031
                case 1: /* fyl2x */
3032
                    gen_op_fyl2x();
3033
                    break;
3034
                case 2: /* fptan */
3035
                    gen_op_fptan();
3036
                    break;
3037
                case 3: /* fpatan */
3038
                    gen_op_fpatan();
3039
                    break;
3040
                case 4: /* fxtract */
3041
                    gen_op_fxtract();
3042
                    break;
3043
                case 5: /* fprem1 */
3044
                    gen_op_fprem1();
3045
                    break;
3046
                case 6: /* fdecstp */
3047
                    gen_op_fdecstp();
3048
                    break;
3049
                default:
3050
                case 7: /* fincstp */
3051
                    gen_op_fincstp();
3052
                    break;
3053
                }
3054
                break;
3055
            case 0x0f: /* grp d9/7 */
3056
                switch(rm) {
3057
                case 0: /* fprem */
3058
                    gen_op_fprem();
3059
                    break;
3060
                case 1: /* fyl2xp1 */
3061
                    gen_op_fyl2xp1();
3062
                    break;
3063
                case 2: /* fsqrt */
3064
                    gen_op_fsqrt();
3065
                    break;
3066
                case 3: /* fsincos */
3067
                    gen_op_fsincos();
3068
                    break;
3069
                case 5: /* fscale */
3070
                    gen_op_fscale();
3071
                    break;
3072
                case 4: /* frndint */
3073
                    gen_op_frndint();
3074
                    break;
3075
                case 6: /* fsin */
3076
                    gen_op_fsin();
3077
                    break;
3078
                default:
3079
                case 7: /* fcos */
3080
                    gen_op_fcos();
3081
                    break;
3082
                }
3083
                break;
3084
            case 0x00: case 0x01: case 0x04 ... 0x07: /* fxxx st, sti */
3085
            case 0x20: case 0x21: case 0x24 ... 0x27: /* fxxx sti, st */
3086
            case 0x30: case 0x31: case 0x34 ... 0x37: /* fxxxp sti, st */
3087
                {
3088
                    int op1;
3089
                    
3090
                    op1 = op & 7;
3091
                    if (op >= 0x20) {
3092
                        gen_op_fp_arith_STN_ST0[op1](opreg);
3093
                        if (op >= 0x30)
3094
                            gen_op_fpop();
3095
                    } else {
3096
                        gen_op_fmov_FT0_STN(opreg);
3097
                        gen_op_fp_arith_ST0_FT0[op1]();
3098
                    }
3099
                }
3100
                break;
3101
            case 0x02: /* fcom */
3102
                gen_op_fmov_FT0_STN(opreg);
3103
                gen_op_fcom_ST0_FT0();
3104
                break;
3105
            case 0x03: /* fcomp */
3106
                gen_op_fmov_FT0_STN(opreg);
3107
                gen_op_fcom_ST0_FT0();
3108
                gen_op_fpop();
3109
                break;
3110
            case 0x15: /* da/5 */
3111
                switch(rm) {
3112
                case 1: /* fucompp */
3113
                    gen_op_fmov_FT0_STN(1);
3114
                    gen_op_fucom_ST0_FT0();
3115
                    gen_op_fpop();
3116
                    gen_op_fpop();
3117
                    break;
3118
                default:
3119
                    goto illegal_op;
3120
                }
3121
                break;
3122
            case 0x1c:
3123
                switch(rm) {
3124
                case 0: /* feni (287 only, just do nop here) */
3125
                    break;
3126
                case 1: /* fdisi (287 only, just do nop here) */
3127
                    break;
3128
                case 2: /* fclex */
3129
                    gen_op_fclex();
3130
                    break;
3131
                case 3: /* fninit */
3132
                    gen_op_fninit();
3133
                    break;
3134
                case 4: /* fsetpm (287 only, just do nop here) */
3135
                    break;
3136
                default:
3137
                    goto illegal_op;
3138
                }
3139
                break;
3140
            case 0x1d: /* fucomi */
3141
                if (s->cc_op != CC_OP_DYNAMIC)
3142
                    gen_op_set_cc_op(s->cc_op);
3143
                gen_op_fmov_FT0_STN(opreg);
3144
                gen_op_fucomi_ST0_FT0();
3145
                s->cc_op = CC_OP_EFLAGS;
3146
                break;
3147
            case 0x1e: /* fcomi */
3148
                if (s->cc_op != CC_OP_DYNAMIC)
3149
                    gen_op_set_cc_op(s->cc_op);
3150
                gen_op_fmov_FT0_STN(opreg);
3151
                gen_op_fcomi_ST0_FT0();
3152
                s->cc_op = CC_OP_EFLAGS;
3153
                break;
3154
            case 0x2a: /* fst sti */
3155
                gen_op_fmov_STN_ST0(opreg);
3156
                break;
3157
            case 0x2b: /* fstp sti */
3158
                gen_op_fmov_STN_ST0(opreg);
3159
                gen_op_fpop();
3160
                break;
3161
            case 0x2c: /* fucom st(i) */
3162
                gen_op_fmov_FT0_STN(opreg);
3163
                gen_op_fucom_ST0_FT0();
3164
                break;
3165
            case 0x2d: /* fucomp st(i) */
3166
                gen_op_fmov_FT0_STN(opreg);
3167
                gen_op_fucom_ST0_FT0();
3168
                gen_op_fpop();
3169
                break;
3170
            case 0x33: /* de/3 */
3171
                switch(rm) {
3172
                case 1: /* fcompp */
3173
                    gen_op_fmov_FT0_STN(1);
3174
                    gen_op_fcom_ST0_FT0();
3175
                    gen_op_fpop();
3176
                    gen_op_fpop();
3177
                    break;
3178
                default:
3179
                    goto illegal_op;
3180
                }
3181
                break;
3182
            case 0x3c: /* df/4 */
3183
                switch(rm) {
3184
                case 0:
3185
                    gen_op_fnstsw_EAX();
3186
                    break;
3187
                default:
3188
                    goto illegal_op;
3189
                }
3190
                break;
3191
            case 0x3d: /* fucomip */
3192
                if (s->cc_op != CC_OP_DYNAMIC)
3193
                    gen_op_set_cc_op(s->cc_op);
3194
                gen_op_fmov_FT0_STN(opreg);
3195
                gen_op_fucomi_ST0_FT0();
3196
                gen_op_fpop();
3197
                s->cc_op = CC_OP_EFLAGS;
3198
                break;
3199
            case 0x3e: /* fcomip */
3200
                if (s->cc_op != CC_OP_DYNAMIC)
3201
                    gen_op_set_cc_op(s->cc_op);
3202
                gen_op_fmov_FT0_STN(opreg);
3203
                gen_op_fcomi_ST0_FT0();
3204
                gen_op_fpop();
3205
                s->cc_op = CC_OP_EFLAGS;
3206
                break;
3207
            case 0x10 ... 0x13: /* fcmovxx */
3208
            case 0x18 ... 0x1b:
3209
                {
3210
                    int op1;
3211
                    const static uint8_t fcmov_cc[8] = {
3212
                        (JCC_B << 1),
3213
                        (JCC_Z << 1),
3214
                        (JCC_BE << 1),
3215
                        (JCC_P << 1),
3216
                    };
3217
                    op1 = fcmov_cc[op & 3] | ((op >> 3) & 1);
3218
                    gen_setcc(s, op1);
3219
                    gen_op_fcmov_ST0_STN_T0(opreg);
3220
                }
3221
                break;
3222
            default:
3223
                goto illegal_op;
3224
            }
3225
        }
3226
        break;
3227
        /************************/
3228
        /* string ops */
3229

    
3230
    case 0xa4: /* movsS */
3231
    case 0xa5:
3232
        if ((b & 1) == 0)
3233
            ot = OT_BYTE;
3234
        else
3235
            ot = dflag ? OT_LONG : OT_WORD;
3236

    
3237
        if (prefixes & (PREFIX_REPZ | PREFIX_REPNZ)) {
3238
            gen_repz_movs(s, ot, pc_start - s->cs_base, s->pc - s->cs_base);
3239
        } else {
3240
            gen_movs(s, ot);
3241
        }
3242
        break;
3243
        
3244
    case 0xaa: /* stosS */
3245
    case 0xab:
3246
        if ((b & 1) == 0)
3247
            ot = OT_BYTE;
3248
        else
3249
            ot = dflag ? OT_LONG : OT_WORD;
3250

    
3251
        if (prefixes & (PREFIX_REPZ | PREFIX_REPNZ)) {
3252
            gen_repz_stos(s, ot, pc_start - s->cs_base, s->pc - s->cs_base);
3253
        } else {
3254
            gen_stos(s, ot);
3255
        }
3256
        break;
3257
    case 0xac: /* lodsS */
3258
    case 0xad:
3259
        if ((b & 1) == 0)
3260
            ot = OT_BYTE;
3261
        else
3262
            ot = dflag ? OT_LONG : OT_WORD;
3263
        if (prefixes & (PREFIX_REPZ | PREFIX_REPNZ)) {
3264
            gen_repz_lods(s, ot, pc_start - s->cs_base, s->pc - s->cs_base);
3265
        } else {
3266
            gen_lods(s, ot);
3267
        }
3268
        break;
3269
    case 0xae: /* scasS */
3270
    case 0xaf:
3271
        if ((b & 1) == 0)
3272
            ot = OT_BYTE;
3273
        else
3274
                ot = dflag ? OT_LONG : OT_WORD;
3275
        if (prefixes & PREFIX_REPNZ) {
3276
            gen_repz_scas(s, ot, pc_start - s->cs_base, s->pc - s->cs_base, 1);
3277
        } else if (prefixes & PREFIX_REPZ) {
3278
            gen_repz_scas(s, ot, pc_start - s->cs_base, s->pc - s->cs_base, 0);
3279
        } else {
3280
            gen_scas(s, ot);
3281
            s->cc_op = CC_OP_SUBB + ot;
3282
        }
3283
        break;
3284

    
3285
    case 0xa6: /* cmpsS */
3286
    case 0xa7:
3287
        if ((b & 1) == 0)
3288
            ot = OT_BYTE;
3289
        else
3290
            ot = dflag ? OT_LONG : OT_WORD;
3291
        if (prefixes & PREFIX_REPNZ) {
3292
            gen_repz_cmps(s, ot, pc_start - s->cs_base, s->pc - s->cs_base, 1);
3293
        } else if (prefixes & PREFIX_REPZ) {
3294
            gen_repz_cmps(s, ot, pc_start - s->cs_base, s->pc - s->cs_base, 0);
3295
        } else {
3296
            gen_cmps(s, ot);
3297
            s->cc_op = CC_OP_SUBB + ot;
3298
        }
3299
        break;
3300
    case 0x6c: /* insS */
3301
    case 0x6d:
3302
        if ((b & 1) == 0)
3303
            ot = OT_BYTE;
3304
        else
3305
            ot = dflag ? OT_LONG : OT_WORD;
3306
        gen_check_io(s, ot, 1, pc_start - s->cs_base);
3307
        if (prefixes & (PREFIX_REPZ | PREFIX_REPNZ)) {
3308
            gen_repz_ins(s, ot, pc_start - s->cs_base, s->pc - s->cs_base);
3309
        } else {
3310
            gen_ins(s, ot);
3311
        }
3312
        break;
3313
    case 0x6e: /* outsS */
3314
    case 0x6f:
3315
        if ((b & 1) == 0)
3316
            ot = OT_BYTE;
3317
        else
3318
            ot = dflag ? OT_LONG : OT_WORD;
3319
        gen_check_io(s, ot, 1, pc_start - s->cs_base);
3320
        if (prefixes & (PREFIX_REPZ | PREFIX_REPNZ)) {
3321
            gen_repz_outs(s, ot, pc_start - s->cs_base, s->pc - s->cs_base);
3322
        } else {
3323
            gen_outs(s, ot);
3324
        }
3325
        break;
3326

    
3327
        /************************/
3328
        /* port I/O */
3329
    case 0xe4:
3330
    case 0xe5:
3331
        if ((b & 1) == 0)
3332
            ot = OT_BYTE;
3333
        else
3334
            ot = dflag ? OT_LONG : OT_WORD;
3335
        val = ldub_code(s->pc++);
3336
        gen_op_movl_T0_im(val);
3337
        gen_check_io(s, ot, 0, pc_start - s->cs_base);
3338
        gen_op_in[ot]();
3339
        gen_op_mov_reg_T1[ot][R_EAX]();
3340
        break;
3341
    case 0xe6:
3342
    case 0xe7:
3343
        if ((b & 1) == 0)
3344
            ot = OT_BYTE;
3345
        else
3346
            ot = dflag ? OT_LONG : OT_WORD;
3347
        val = ldub_code(s->pc++);
3348
        gen_op_movl_T0_im(val);
3349
        gen_check_io(s, ot, 0, pc_start - s->cs_base);
3350
        gen_op_mov_TN_reg[ot][1][R_EAX]();
3351
        gen_op_out[ot]();
3352
        break;
3353
    case 0xec:
3354
    case 0xed:
3355
        if ((b & 1) == 0)
3356
            ot = OT_BYTE;
3357
        else
3358
            ot = dflag ? OT_LONG : OT_WORD;
3359
        gen_op_mov_TN_reg[OT_WORD][0][R_EDX]();
3360
        gen_op_andl_T0_ffff();
3361
        gen_check_io(s, ot, 0, pc_start - s->cs_base);
3362
        gen_op_in[ot]();
3363
        gen_op_mov_reg_T1[ot][R_EAX]();
3364
        break;
3365
    case 0xee:
3366
    case 0xef:
3367
        if ((b & 1) == 0)
3368
            ot = OT_BYTE;
3369
        else
3370
            ot = dflag ? OT_LONG : OT_WORD;
3371
        gen_op_mov_TN_reg[OT_WORD][0][R_EDX]();
3372
        gen_op_andl_T0_ffff();
3373
        gen_check_io(s, ot, 0, pc_start - s->cs_base);
3374
        gen_op_mov_TN_reg[ot][1][R_EAX]();
3375
        gen_op_out[ot]();
3376
        break;
3377

    
3378
        /************************/
3379
        /* control */
3380
    case 0xc2: /* ret im */
3381
        val = ldsw_code(s->pc);
3382
        s->pc += 2;
3383
        gen_pop_T0(s);
3384
        gen_stack_update(s, val + (2 << s->dflag));
3385
        if (s->dflag == 0)
3386
            gen_op_andl_T0_ffff();
3387
        gen_op_jmp_T0();
3388
        gen_eob(s);
3389
        break;
3390
    case 0xc3: /* ret */
3391
        gen_pop_T0(s);
3392
        gen_pop_update(s);
3393
        if (s->dflag == 0)
3394
            gen_op_andl_T0_ffff();
3395
        gen_op_jmp_T0();
3396
        gen_eob(s);
3397
        break;
3398
    case 0xca: /* lret im */
3399
        val = ldsw_code(s->pc);
3400
        s->pc += 2;
3401
    do_lret:
3402
        if (s->pe && !s->vm86) {
3403
            if (s->cc_op != CC_OP_DYNAMIC)
3404
                gen_op_set_cc_op(s->cc_op);
3405
            gen_op_jmp_im(pc_start - s->cs_base);
3406
            gen_op_lret_protected(s->dflag, val);
3407
        } else {
3408
            gen_stack_A0(s);
3409
            /* pop offset */
3410
            gen_op_ld_T0_A0[1 + s->dflag + s->mem_index]();
3411
            if (s->dflag == 0)
3412
                gen_op_andl_T0_ffff();
3413
            /* NOTE: keeping EIP updated is not a problem in case of
3414
               exception */
3415
            gen_op_jmp_T0();
3416
            /* pop selector */
3417
            gen_op_addl_A0_im(2 << s->dflag);
3418
            gen_op_ld_T0_A0[1 + s->dflag + s->mem_index]();
3419
            gen_op_movl_seg_T0_vm(offsetof(CPUX86State,segs[R_CS]));
3420
            /* add stack offset */
3421
            gen_stack_update(s, val + (4 << s->dflag));
3422
        }
3423
        gen_eob(s);
3424
        break;
3425
    case 0xcb: /* lret */
3426
        val = 0;
3427
        goto do_lret;
3428
    case 0xcf: /* iret */
3429
        if (!s->pe) {
3430
            /* real mode */
3431
            gen_op_iret_real(s->dflag);
3432
            s->cc_op = CC_OP_EFLAGS;
3433
        } else if (s->vm86) {
3434
            if (s->iopl != 3) {
3435
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3436
            } else {
3437
                gen_op_iret_real(s->dflag);
3438
                s->cc_op = CC_OP_EFLAGS;
3439
            }
3440
        } else {
3441
            if (s->cc_op != CC_OP_DYNAMIC)
3442
                gen_op_set_cc_op(s->cc_op);
3443
            gen_op_jmp_im(pc_start - s->cs_base);
3444
            gen_op_iret_protected(s->dflag);
3445
            s->cc_op = CC_OP_EFLAGS;
3446
        }
3447
        gen_eob(s);
3448
        break;
3449
    case 0xe8: /* call im */
3450
        {
3451
            unsigned int next_eip;
3452
            ot = dflag ? OT_LONG : OT_WORD;
3453
            val = insn_get(s, ot);
3454
            next_eip = s->pc - s->cs_base;
3455
            val += next_eip;
3456
            if (s->dflag == 0)
3457
                val &= 0xffff;
3458
            gen_op_movl_T0_im(next_eip);
3459
            gen_push_T0(s);
3460
            gen_jmp(s, val);
3461
        }
3462
        break;
3463
    case 0x9a: /* lcall im */
3464
        {
3465
            unsigned int selector, offset;
3466

    
3467
            ot = dflag ? OT_LONG : OT_WORD;
3468
            offset = insn_get(s, ot);
3469
            selector = insn_get(s, OT_WORD);
3470
            
3471
            gen_op_movl_T0_im(selector);
3472
            gen_op_movl_T1_im(offset);
3473
        }
3474
        goto do_lcall;
3475
    case 0xe9: /* jmp */
3476
        ot = dflag ? OT_LONG : OT_WORD;
3477
        val = insn_get(s, ot);
3478
        val += s->pc - s->cs_base;
3479
        if (s->dflag == 0)
3480
            val = val & 0xffff;
3481
        gen_jmp(s, val);
3482
        break;
3483
    case 0xea: /* ljmp im */
3484
        {
3485
            unsigned int selector, offset;
3486

    
3487
            ot = dflag ? OT_LONG : OT_WORD;
3488
            offset = insn_get(s, ot);
3489
            selector = insn_get(s, OT_WORD);
3490
            
3491
            gen_op_movl_T0_im(selector);
3492
            gen_op_movl_T1_im(offset);
3493
        }
3494
        goto do_ljmp;
3495
    case 0xeb: /* jmp Jb */
3496
        val = (int8_t)insn_get(s, OT_BYTE);
3497
        val += s->pc - s->cs_base;
3498
        if (s->dflag == 0)
3499
            val = val & 0xffff;
3500
        gen_jmp(s, val);
3501
        break;
3502
    case 0x70 ... 0x7f: /* jcc Jb */
3503
        val = (int8_t)insn_get(s, OT_BYTE);
3504
        goto do_jcc;
3505
    case 0x180 ... 0x18f: /* jcc Jv */
3506
        if (dflag) {
3507
            val = insn_get(s, OT_LONG);
3508
        } else {
3509
            val = (int16_t)insn_get(s, OT_WORD); 
3510
        }
3511
    do_jcc:
3512
        next_eip = s->pc - s->cs_base;
3513
        val += next_eip;
3514
        if (s->dflag == 0)
3515
            val &= 0xffff;
3516
        gen_jcc(s, b, val, next_eip);
3517
        break;
3518

    
3519
    case 0x190 ... 0x19f: /* setcc Gv */
3520
        modrm = ldub_code(s->pc++);
3521
        gen_setcc(s, b);
3522
        gen_ldst_modrm(s, modrm, OT_BYTE, OR_TMP0, 1);
3523
        break;
3524
    case 0x140 ... 0x14f: /* cmov Gv, Ev */
3525
        ot = dflag ? OT_LONG : OT_WORD;
3526
        modrm = ldub_code(s->pc++);
3527
        reg = (modrm >> 3) & 7;
3528
        mod = (modrm >> 6) & 3;
3529
        gen_setcc(s, b);
3530
        if (mod != 3) {
3531
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
3532
            gen_op_ld_T1_A0[ot + s->mem_index]();
3533
        } else {
3534
            rm = modrm & 7;
3535
            gen_op_mov_TN_reg[ot][1][rm]();
3536
        }
3537
        gen_op_cmov_reg_T1_T0[ot - OT_WORD][reg]();
3538
        break;
3539
        
3540
        /************************/
3541
        /* flags */
3542
    case 0x9c: /* pushf */
3543
        if (s->vm86 && s->iopl != 3) {
3544
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3545
        } else {
3546
            if (s->cc_op != CC_OP_DYNAMIC)
3547
                gen_op_set_cc_op(s->cc_op);
3548
            gen_op_movl_T0_eflags();
3549
            gen_push_T0(s);
3550
        }
3551
        break;
3552
    case 0x9d: /* popf */
3553
        if (s->vm86 && s->iopl != 3) {
3554
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3555
        } else {
3556
            gen_pop_T0(s);
3557
            if (s->cpl == 0) {
3558
                if (s->dflag) {
3559
                    gen_op_movl_eflags_T0_cpl0();
3560
                } else {
3561
                    gen_op_movw_eflags_T0_cpl0();
3562
                }
3563
            } else {
3564
                if (s->cpl <= s->iopl) {
3565
                    if (s->dflag) {
3566
                        gen_op_movl_eflags_T0_io();
3567
                    } else {
3568
                        gen_op_movw_eflags_T0_io();
3569
                    }
3570
                } else {
3571
                    if (s->dflag) {
3572
                        gen_op_movl_eflags_T0();
3573
                    } else {
3574
                        gen_op_movw_eflags_T0();
3575
                    }
3576
                }
3577
            }
3578
            gen_pop_update(s);
3579
            s->cc_op = CC_OP_EFLAGS;
3580
            /* abort translation because TF flag may change */
3581
            gen_op_jmp_im(s->pc - s->cs_base);
3582
            gen_eob(s);
3583
        }
3584
        break;
3585
    case 0x9e: /* sahf */
3586
        gen_op_mov_TN_reg[OT_BYTE][0][R_AH]();
3587
        if (s->cc_op != CC_OP_DYNAMIC)
3588
            gen_op_set_cc_op(s->cc_op);
3589
        gen_op_movb_eflags_T0();
3590
        s->cc_op = CC_OP_EFLAGS;
3591
        break;
3592
    case 0x9f: /* lahf */
3593
        if (s->cc_op != CC_OP_DYNAMIC)
3594
            gen_op_set_cc_op(s->cc_op);
3595
        gen_op_movl_T0_eflags();
3596
        gen_op_mov_reg_T0[OT_BYTE][R_AH]();
3597
        break;
3598
    case 0xf5: /* cmc */
3599
        if (s->cc_op != CC_OP_DYNAMIC)
3600
            gen_op_set_cc_op(s->cc_op);
3601
        gen_op_cmc();
3602
        s->cc_op = CC_OP_EFLAGS;
3603
        break;
3604
    case 0xf8: /* clc */
3605
        if (s->cc_op != CC_OP_DYNAMIC)
3606
            gen_op_set_cc_op(s->cc_op);
3607
        gen_op_clc();
3608
        s->cc_op = CC_OP_EFLAGS;
3609
        break;
3610
    case 0xf9: /* stc */
3611
        if (s->cc_op != CC_OP_DYNAMIC)
3612
            gen_op_set_cc_op(s->cc_op);
3613
        gen_op_stc();
3614
        s->cc_op = CC_OP_EFLAGS;
3615
        break;
3616
    case 0xfc: /* cld */
3617
        gen_op_cld();
3618
        break;
3619
    case 0xfd: /* std */
3620
        gen_op_std();
3621
        break;
3622

    
3623
        /************************/
3624
        /* bit operations */
3625
    case 0x1ba: /* bt/bts/btr/btc Gv, im */
3626
        ot = dflag ? OT_LONG : OT_WORD;
3627
        modrm = ldub_code(s->pc++);
3628
        op = (modrm >> 3) & 7;
3629
        mod = (modrm >> 6) & 3;
3630
        rm = modrm & 7;
3631
        if (mod != 3) {
3632
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
3633
            gen_op_ld_T0_A0[ot + s->mem_index]();
3634
        } else {
3635
            gen_op_mov_TN_reg[ot][0][rm]();
3636
        }
3637
        /* load shift */
3638
        val = ldub_code(s->pc++);
3639
        gen_op_movl_T1_im(val);
3640
        if (op < 4)
3641
            goto illegal_op;
3642
        op -= 4;
3643
        gen_op_btx_T0_T1_cc[ot - OT_WORD][op]();
3644
        s->cc_op = CC_OP_SARB + ot;
3645
        if (op != 0) {
3646
            if (mod != 3)
3647
                gen_op_st_T0_A0[ot + s->mem_index]();
3648
            else
3649
                gen_op_mov_reg_T0[ot][rm]();
3650
            gen_op_update_bt_cc();
3651
        }
3652
        break;
3653
    case 0x1a3: /* bt Gv, Ev */
3654
        op = 0;
3655
        goto do_btx;
3656
    case 0x1ab: /* bts */
3657
        op = 1;
3658
        goto do_btx;
3659
    case 0x1b3: /* btr */
3660
        op = 2;
3661
        goto do_btx;
3662
    case 0x1bb: /* btc */
3663
        op = 3;
3664
    do_btx:
3665
        ot = dflag ? OT_LONG : OT_WORD;
3666
        modrm = ldub_code(s->pc++);
3667
        reg = (modrm >> 3) & 7;
3668
        mod = (modrm >> 6) & 3;
3669
        rm = modrm & 7;
3670
        gen_op_mov_TN_reg[OT_LONG][1][reg]();
3671
        if (mod != 3) {
3672
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
3673
            /* specific case: we need to add a displacement */
3674
            if (ot == OT_WORD)
3675
                gen_op_add_bitw_A0_T1();
3676
            else
3677
                gen_op_add_bitl_A0_T1();
3678
            gen_op_ld_T0_A0[ot + s->mem_index]();
3679
        } else {
3680
            gen_op_mov_TN_reg[ot][0][rm]();
3681
        }
3682
        gen_op_btx_T0_T1_cc[ot - OT_WORD][op]();
3683
        s->cc_op = CC_OP_SARB + ot;
3684
        if (op != 0) {
3685
            if (mod != 3)
3686
                gen_op_st_T0_A0[ot + s->mem_index]();
3687
            else
3688
                gen_op_mov_reg_T0[ot][rm]();
3689
            gen_op_update_bt_cc();
3690
        }
3691
        break;
3692
    case 0x1bc: /* bsf */
3693
    case 0x1bd: /* bsr */
3694
        ot = dflag ? OT_LONG : OT_WORD;
3695
        modrm = ldub_code(s->pc++);
3696
        reg = (modrm >> 3) & 7;
3697
        gen_ldst_modrm(s, modrm, ot, OR_TMP0, 0);
3698
        gen_op_bsx_T0_cc[ot - OT_WORD][b & 1]();
3699
        /* NOTE: we always write back the result. Intel doc says it is
3700
           undefined if T0 == 0 */
3701
        gen_op_mov_reg_T0[ot][reg]();
3702
        s->cc_op = CC_OP_LOGICB + ot;
3703
        break;
3704
        /************************/
3705
        /* bcd */
3706
    case 0x27: /* daa */
3707
        if (s->cc_op != CC_OP_DYNAMIC)
3708
            gen_op_set_cc_op(s->cc_op);
3709
        gen_op_daa();
3710
        s->cc_op = CC_OP_EFLAGS;
3711
        break;
3712
    case 0x2f: /* das */
3713
        if (s->cc_op != CC_OP_DYNAMIC)
3714
            gen_op_set_cc_op(s->cc_op);
3715
        gen_op_das();
3716
        s->cc_op = CC_OP_EFLAGS;
3717
        break;
3718
    case 0x37: /* aaa */
3719
        if (s->cc_op != CC_OP_DYNAMIC)
3720
            gen_op_set_cc_op(s->cc_op);
3721
        gen_op_aaa();
3722
        s->cc_op = CC_OP_EFLAGS;
3723
        break;
3724
    case 0x3f: /* aas */
3725
        if (s->cc_op != CC_OP_DYNAMIC)
3726
            gen_op_set_cc_op(s->cc_op);
3727
        gen_op_aas();
3728
        s->cc_op = CC_OP_EFLAGS;
3729
        break;
3730
    case 0xd4: /* aam */
3731
        val = ldub_code(s->pc++);
3732
        gen_op_aam(val);
3733
        s->cc_op = CC_OP_LOGICB;
3734
        break;
3735
    case 0xd5: /* aad */
3736
        val = ldub_code(s->pc++);
3737
        gen_op_aad(val);
3738
        s->cc_op = CC_OP_LOGICB;
3739
        break;
3740
        /************************/
3741
        /* misc */
3742
    case 0x90: /* nop */
3743
        /* XXX: correct lock test for all insn */
3744
        if (prefixes & PREFIX_LOCK)
3745
            goto illegal_op;
3746
        break;
3747
    case 0x9b: /* fwait */
3748
        break;
3749
    case 0xcc: /* int3 */
3750
        gen_interrupt(s, EXCP03_INT3, pc_start - s->cs_base, s->pc - s->cs_base);
3751
        break;
3752
    case 0xcd: /* int N */
3753
        val = ldub_code(s->pc++);
3754
        if (s->vm86 && s->iopl != 3) {
3755
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base); 
3756
        } else {
3757
            gen_interrupt(s, val, pc_start - s->cs_base, s->pc - s->cs_base);
3758
        }
3759
        break;
3760
    case 0xce: /* into */
3761
        if (s->cc_op != CC_OP_DYNAMIC)
3762
            gen_op_set_cc_op(s->cc_op);
3763
        gen_op_into(s->pc - s->cs_base);
3764
        break;
3765
    case 0xf1: /* icebp (undocumented, exits to external debugger) */
3766
        gen_debug(s, pc_start - s->cs_base);
3767
        break;
3768
    case 0xfa: /* cli */
3769
        if (!s->vm86) {
3770
            if (s->cpl <= s->iopl) {
3771
                gen_op_cli();
3772
            } else {
3773
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3774
            }
3775
        } else {
3776
            if (s->iopl == 3) {
3777
                gen_op_cli();
3778
            } else {
3779
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3780
            }
3781
        }
3782
        break;
3783
    case 0xfb: /* sti */
3784
        if (!s->vm86) {
3785
            if (s->cpl <= s->iopl) {
3786
            gen_sti:
3787
                gen_op_sti();
3788
                /* interruptions are enabled only the first insn after sti */
3789
                /* If several instructions disable interrupts, only the
3790
                   _first_ does it */
3791
                if (!(s->tb->flags & HF_INHIBIT_IRQ_MASK))
3792
                    gen_op_set_inhibit_irq();
3793
                /* give a chance to handle pending irqs */
3794
                gen_op_jmp_im(s->pc - s->cs_base);
3795
                gen_eob(s);
3796
            } else {
3797
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3798
            }
3799
        } else {
3800
            if (s->iopl == 3) {
3801
                goto gen_sti;
3802
            } else {
3803
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3804
            }
3805
        }
3806
        break;
3807
    case 0x62: /* bound */
3808
        ot = dflag ? OT_LONG : OT_WORD;
3809
        modrm = ldub_code(s->pc++);
3810
        reg = (modrm >> 3) & 7;
3811
        mod = (modrm >> 6) & 3;
3812
        if (mod == 3)
3813
            goto illegal_op;
3814
        gen_op_mov_reg_T0[ot][reg]();
3815
        gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
3816
        if (ot == OT_WORD)
3817
            gen_op_boundw(pc_start - s->cs_base);
3818
        else
3819
            gen_op_boundl(pc_start - s->cs_base);
3820
        break;
3821
    case 0x1c8 ... 0x1cf: /* bswap reg */
3822
        reg = b & 7;
3823
        gen_op_mov_TN_reg[OT_LONG][0][reg]();
3824
        gen_op_bswapl_T0();
3825
        gen_op_mov_reg_T0[OT_LONG][reg]();
3826
        break;
3827
    case 0xd6: /* salc */
3828
        if (s->cc_op != CC_OP_DYNAMIC)
3829
            gen_op_set_cc_op(s->cc_op);
3830
        gen_op_salc();
3831
        break;
3832
    case 0xe0: /* loopnz */
3833
    case 0xe1: /* loopz */
3834
        if (s->cc_op != CC_OP_DYNAMIC)
3835
            gen_op_set_cc_op(s->cc_op);
3836
        /* FALL THRU */
3837
    case 0xe2: /* loop */
3838
    case 0xe3: /* jecxz */
3839
        val = (int8_t)insn_get(s, OT_BYTE);
3840
        next_eip = s->pc - s->cs_base;
3841
        val += next_eip;
3842
        if (s->dflag == 0)
3843
            val &= 0xffff;
3844
        gen_op_loop[s->aflag][b & 3](val, next_eip);
3845
        gen_eob(s);
3846
        break;
3847
    case 0x130: /* wrmsr */
3848
    case 0x132: /* rdmsr */
3849
        if (s->cpl != 0) {
3850
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3851
        } else {
3852
            if (b & 2)
3853
                gen_op_rdmsr();
3854
            else
3855
                gen_op_wrmsr();
3856
        }
3857
        break;
3858
    case 0x131: /* rdtsc */
3859
        gen_op_rdtsc();
3860
        break;
3861
    case 0x1a2: /* cpuid */
3862
        gen_op_cpuid();
3863
        break;
3864
    case 0xf4: /* hlt */
3865
        if (s->cpl != 0) {
3866
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3867
        } else {
3868
            if (s->cc_op != CC_OP_DYNAMIC)
3869
                gen_op_set_cc_op(s->cc_op);
3870
            gen_op_jmp_im(s->pc - s->cs_base);
3871
            gen_op_hlt();
3872
            s->is_jmp = 3;
3873
        }
3874
        break;
3875
    case 0x100:
3876
        modrm = ldub_code(s->pc++);
3877
        mod = (modrm >> 6) & 3;
3878
        op = (modrm >> 3) & 7;
3879
        switch(op) {
3880
        case 0: /* sldt */
3881
            if (!s->pe || s->vm86)
3882
                goto illegal_op;
3883
            gen_op_movl_T0_env(offsetof(CPUX86State,ldt.selector));
3884
            ot = OT_WORD;
3885
            if (mod == 3)
3886
                ot += s->dflag;
3887
            gen_ldst_modrm(s, modrm, ot, OR_TMP0, 1);
3888
            break;
3889
        case 2: /* lldt */
3890
            if (!s->pe || s->vm86)
3891
                goto illegal_op;
3892
            if (s->cpl != 0) {
3893
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3894
            } else {
3895
                gen_ldst_modrm(s, modrm, OT_WORD, OR_TMP0, 0);
3896
                gen_op_jmp_im(pc_start - s->cs_base);
3897
                gen_op_lldt_T0();
3898
            }
3899
            break;
3900
        case 1: /* str */
3901
            if (!s->pe || s->vm86)
3902
                goto illegal_op;
3903
            gen_op_movl_T0_env(offsetof(CPUX86State,tr.selector));
3904
            ot = OT_WORD;
3905
            if (mod == 3)
3906
                ot += s->dflag;
3907
            gen_ldst_modrm(s, modrm, ot, OR_TMP0, 1);
3908
            break;
3909
        case 3: /* ltr */
3910
            if (!s->pe || s->vm86)
3911
                goto illegal_op;
3912
            if (s->cpl != 0) {
3913
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3914
            } else {
3915
                gen_ldst_modrm(s, modrm, OT_WORD, OR_TMP0, 0);
3916
                gen_op_jmp_im(pc_start - s->cs_base);
3917
                gen_op_ltr_T0();
3918
            }
3919
            break;
3920
        case 4: /* verr */
3921
        case 5: /* verw */
3922
            if (!s->pe || s->vm86)
3923
                goto illegal_op;
3924
            gen_ldst_modrm(s, modrm, OT_WORD, OR_TMP0, 0);
3925
            if (s->cc_op != CC_OP_DYNAMIC)
3926
                gen_op_set_cc_op(s->cc_op);
3927
            if (op == 4)
3928
                gen_op_verr();
3929
            else
3930
                gen_op_verw();
3931
            s->cc_op = CC_OP_EFLAGS;
3932
            break;
3933
        default:
3934
            goto illegal_op;
3935
        }
3936
        break;
3937
    case 0x101:
3938
        modrm = ldub_code(s->pc++);
3939
        mod = (modrm >> 6) & 3;
3940
        op = (modrm >> 3) & 7;
3941
        switch(op) {
3942
        case 0: /* sgdt */
3943
        case 1: /* sidt */
3944
            if (mod == 3)
3945
                goto illegal_op;
3946
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
3947
            if (op == 0)
3948
                gen_op_movl_T0_env(offsetof(CPUX86State,gdt.limit));
3949
            else
3950
                gen_op_movl_T0_env(offsetof(CPUX86State,idt.limit));
3951
            gen_op_st_T0_A0[OT_WORD + s->mem_index]();
3952
            gen_op_addl_A0_im(2);
3953
            if (op == 0)
3954
                gen_op_movl_T0_env(offsetof(CPUX86State,gdt.base));
3955
            else
3956
                gen_op_movl_T0_env(offsetof(CPUX86State,idt.base));
3957
            if (!s->dflag)
3958
                gen_op_andl_T0_im(0xffffff);
3959
            gen_op_st_T0_A0[OT_LONG + s->mem_index]();
3960
            break;
3961
        case 2: /* lgdt */
3962
        case 3: /* lidt */
3963
            if (mod == 3)
3964
                goto illegal_op;
3965
            if (s->cpl != 0) {
3966
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3967
            } else {
3968
                gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
3969
                gen_op_ld_T1_A0[OT_WORD + s->mem_index]();
3970
                gen_op_addl_A0_im(2);
3971
                gen_op_ld_T0_A0[OT_LONG + s->mem_index]();
3972
                if (!s->dflag)
3973
                    gen_op_andl_T0_im(0xffffff);
3974
                if (op == 2) {
3975
                    gen_op_movl_env_T0(offsetof(CPUX86State,gdt.base));
3976
                    gen_op_movl_env_T1(offsetof(CPUX86State,gdt.limit));
3977
                } else {
3978
                    gen_op_movl_env_T0(offsetof(CPUX86State,idt.base));
3979
                    gen_op_movl_env_T1(offsetof(CPUX86State,idt.limit));
3980
                }
3981
            }
3982
            break;
3983
        case 4: /* smsw */
3984
            gen_op_movl_T0_env(offsetof(CPUX86State,cr[0]));
3985
            gen_ldst_modrm(s, modrm, OT_WORD, OR_TMP0, 1);
3986
            break;
3987
        case 6: /* lmsw */
3988
            if (s->cpl != 0) {
3989
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
3990
            } else {
3991
                gen_ldst_modrm(s, modrm, OT_WORD, OR_TMP0, 0);
3992
                gen_op_lmsw_T0();
3993
                gen_op_jmp_im(s->pc - s->cs_base);
3994
                gen_eob(s);
3995
            }
3996
            break;
3997
        case 7: /* invlpg */
3998
            if (s->cpl != 0) {
3999
                gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
4000
            } else {
4001
                if (mod == 3)
4002
                    goto illegal_op;
4003
                gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
4004
                gen_op_invlpg_A0();
4005
                gen_op_jmp_im(s->pc - s->cs_base);
4006
                gen_eob(s);
4007
            }
4008
            break;
4009
        default:
4010
            goto illegal_op;
4011
        }
4012
        break;
4013
    case 0x108: /* invd */
4014
    case 0x109: /* wbinvd */
4015
        if (s->cpl != 0) {
4016
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
4017
        } else {
4018
            /* nothing to do */
4019
        }
4020
        break;
4021
    case 0x63: /* arpl */
4022
        if (!s->pe || s->vm86)
4023
            goto illegal_op;
4024
        ot = dflag ? OT_LONG : OT_WORD;
4025
        modrm = ldub_code(s->pc++);
4026
        reg = (modrm >> 3) & 7;
4027
        mod = (modrm >> 6) & 3;
4028
        rm = modrm & 7;
4029
        if (mod != 3) {
4030
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
4031
            gen_op_ld_T0_A0[ot + s->mem_index]();
4032
        } else {
4033
            gen_op_mov_TN_reg[ot][0][rm]();
4034
        }
4035
        if (s->cc_op != CC_OP_DYNAMIC)
4036
            gen_op_set_cc_op(s->cc_op);
4037
        gen_op_arpl();
4038
        s->cc_op = CC_OP_EFLAGS;
4039
        if (mod != 3) {
4040
            gen_op_st_T0_A0[ot + s->mem_index]();
4041
        } else {
4042
            gen_op_mov_reg_T0[ot][rm]();
4043
        }
4044
        gen_op_arpl_update();
4045
        break;
4046
    case 0x102: /* lar */
4047
    case 0x103: /* lsl */
4048
        if (!s->pe || s->vm86)
4049
            goto illegal_op;
4050
        ot = dflag ? OT_LONG : OT_WORD;
4051
        modrm = ldub_code(s->pc++);
4052
        reg = (modrm >> 3) & 7;
4053
        gen_ldst_modrm(s, modrm, ot, OR_TMP0, 0);
4054
        gen_op_mov_TN_reg[ot][1][reg]();
4055
        if (s->cc_op != CC_OP_DYNAMIC)
4056
            gen_op_set_cc_op(s->cc_op);
4057
        if (b == 0x102)
4058
            gen_op_lar();
4059
        else
4060
            gen_op_lsl();
4061
        s->cc_op = CC_OP_EFLAGS;
4062
        gen_op_mov_reg_T1[ot][reg]();
4063
        break;
4064
    case 0x118:
4065
        modrm = ldub_code(s->pc++);
4066
        mod = (modrm >> 6) & 3;
4067
        op = (modrm >> 3) & 7;
4068
        switch(op) {
4069
        case 0: /* prefetchnta */
4070
        case 1: /* prefetchnt0 */
4071
        case 2: /* prefetchnt0 */
4072
        case 3: /* prefetchnt0 */
4073
            if (mod == 3)
4074
                goto illegal_op;
4075
            gen_lea_modrm(s, modrm, &reg_addr, &offset_addr);
4076
            /* nothing more to do */
4077
            break;
4078
        default:
4079
            goto illegal_op;
4080
        }
4081
        break;
4082
    case 0x120: /* mov reg, crN */
4083
    case 0x122: /* mov crN, reg */
4084
        if (s->cpl != 0) {
4085
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
4086
        } else {
4087
            modrm = ldub_code(s->pc++);
4088
            if ((modrm & 0xc0) != 0xc0)
4089
                goto illegal_op;
4090
            rm = modrm & 7;
4091
            reg = (modrm >> 3) & 7;
4092
            switch(reg) {
4093
            case 0:
4094
            case 2:
4095
            case 3:
4096
            case 4:
4097
                if (b & 2) {
4098
                    gen_op_mov_TN_reg[OT_LONG][0][rm]();
4099
                    gen_op_movl_crN_T0(reg);
4100
                    gen_op_jmp_im(s->pc - s->cs_base);
4101
                    gen_eob(s);
4102
                } else {
4103
                    gen_op_movl_T0_env(offsetof(CPUX86State,cr[reg]));
4104
                    gen_op_mov_reg_T0[OT_LONG][rm]();
4105
                }
4106
                break;
4107
            default:
4108
                goto illegal_op;
4109
            }
4110
        }
4111
        break;
4112
    case 0x121: /* mov reg, drN */
4113
    case 0x123: /* mov drN, reg */
4114
        if (s->cpl != 0) {
4115
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
4116
        } else {
4117
            modrm = ldub_code(s->pc++);
4118
            if ((modrm & 0xc0) != 0xc0)
4119
                goto illegal_op;
4120
            rm = modrm & 7;
4121
            reg = (modrm >> 3) & 7;
4122
            /* XXX: do it dynamically with CR4.DE bit */
4123
            if (reg == 4 || reg == 5)
4124
                goto illegal_op;
4125
            if (b & 2) {
4126
                gen_op_mov_TN_reg[OT_LONG][0][rm]();
4127
                gen_op_movl_drN_T0(reg);
4128
                gen_op_jmp_im(s->pc - s->cs_base);
4129
                gen_eob(s);
4130
            } else {
4131
                gen_op_movl_T0_env(offsetof(CPUX86State,dr[reg]));
4132
                gen_op_mov_reg_T0[OT_LONG][rm]();
4133
            }
4134
        }
4135
        break;
4136
    case 0x106: /* clts */
4137
        if (s->cpl != 0) {
4138
            gen_exception(s, EXCP0D_GPF, pc_start - s->cs_base);
4139
        } else {
4140
            gen_op_clts();
4141
        }
4142
        break;
4143
    default:
4144
        goto illegal_op;
4145
    }
4146
    /* lock generation */
4147
    if (s->prefix & PREFIX_LOCK)
4148
        gen_op_unlock();
4149
    return s->pc;
4150
 illegal_op:
4151
    if (s->prefix & PREFIX_LOCK)
4152
        gen_op_unlock();
4153
    /* XXX: ensure that no lock was generated */
4154
    gen_exception(s, EXCP06_ILLOP, pc_start - s->cs_base);
4155
    return s->pc;
4156
}
4157

    
4158
#define CC_OSZAPC (CC_O | CC_S | CC_Z | CC_A | CC_P | CC_C)
4159
#define CC_OSZAP (CC_O | CC_S | CC_Z | CC_A | CC_P)
4160

    
4161
/* flags read by an operation */
4162
static uint16_t opc_read_flags[NB_OPS] = { 
4163
    [INDEX_op_aas] = CC_A,
4164
    [INDEX_op_aaa] = CC_A,
4165
    [INDEX_op_das] = CC_A | CC_C,
4166
    [INDEX_op_daa] = CC_A | CC_C,
4167

    
4168
    /* subtle: due to the incl/decl implementation, C is used */
4169
    [INDEX_op_update_inc_cc] = CC_C, 
4170

    
4171
    [INDEX_op_into] = CC_O,
4172

    
4173
    [INDEX_op_jb_subb] = CC_C,
4174
    [INDEX_op_jb_subw] = CC_C,
4175
    [INDEX_op_jb_subl] = CC_C,
4176

    
4177
    [INDEX_op_jz_subb] = CC_Z,
4178
    [INDEX_op_jz_subw] = CC_Z,
4179
    [INDEX_op_jz_subl] = CC_Z,
4180

    
4181
    [INDEX_op_jbe_subb] = CC_Z | CC_C,
4182
    [INDEX_op_jbe_subw] = CC_Z | CC_C,
4183
    [INDEX_op_jbe_subl] = CC_Z | CC_C,
4184

    
4185
    [INDEX_op_js_subb] = CC_S,
4186
    [INDEX_op_js_subw] = CC_S,
4187
    [INDEX_op_js_subl] = CC_S,
4188

    
4189
    [INDEX_op_jl_subb] = CC_O | CC_S,
4190
    [INDEX_op_jl_subw] = CC_O | CC_S,
4191
    [INDEX_op_jl_subl] = CC_O | CC_S,
4192

    
4193
    [INDEX_op_jle_subb] = CC_O | CC_S | CC_Z,
4194
    [INDEX_op_jle_subw] = CC_O | CC_S | CC_Z,
4195
    [INDEX_op_jle_subl] = CC_O | CC_S | CC_Z,
4196

    
4197
    [INDEX_op_loopnzw] = CC_Z,
4198
    [INDEX_op_loopnzl] = CC_Z,
4199
    [INDEX_op_loopzw] = CC_Z,
4200
    [INDEX_op_loopzl] = CC_Z,
4201

    
4202
    [INDEX_op_seto_T0_cc] = CC_O,
4203
    [INDEX_op_setb_T0_cc] = CC_C,
4204
    [INDEX_op_setz_T0_cc] = CC_Z,
4205
    [INDEX_op_setbe_T0_cc] = CC_Z | CC_C,
4206
    [INDEX_op_sets_T0_cc] = CC_S,
4207
    [INDEX_op_setp_T0_cc] = CC_P,
4208
    [INDEX_op_setl_T0_cc] = CC_O | CC_S,
4209
    [INDEX_op_setle_T0_cc] = CC_O | CC_S | CC_Z,
4210

    
4211
    [INDEX_op_setb_T0_subb] = CC_C,
4212
    [INDEX_op_setb_T0_subw] = CC_C,
4213
    [INDEX_op_setb_T0_subl] = CC_C,
4214

    
4215
    [INDEX_op_setz_T0_subb] = CC_Z,
4216
    [INDEX_op_setz_T0_subw] = CC_Z,
4217
    [INDEX_op_setz_T0_subl] = CC_Z,
4218

    
4219
    [INDEX_op_setbe_T0_subb] = CC_Z | CC_C,
4220
    [INDEX_op_setbe_T0_subw] = CC_Z | CC_C,
4221
    [INDEX_op_setbe_T0_subl] = CC_Z | CC_C,
4222

    
4223
    [INDEX_op_sets_T0_subb] = CC_S,
4224
    [INDEX_op_sets_T0_subw] = CC_S,
4225
    [INDEX_op_sets_T0_subl] = CC_S,
4226

    
4227
    [INDEX_op_setl_T0_subb] = CC_O | CC_S,
4228
    [INDEX_op_setl_T0_subw] = CC_O | CC_S,
4229
    [INDEX_op_setl_T0_subl] = CC_O | CC_S,
4230

    
4231
    [INDEX_op_setle_T0_subb] = CC_O | CC_S | CC_Z,
4232
    [INDEX_op_setle_T0_subw] = CC_O | CC_S | CC_Z,
4233
    [INDEX_op_setle_T0_subl] = CC_O | CC_S | CC_Z,
4234

    
4235
    [INDEX_op_movl_T0_eflags] = CC_OSZAPC,
4236
    [INDEX_op_cmc] = CC_C,
4237
    [INDEX_op_salc] = CC_C,
4238

    
4239
    /* needed for correct flag optimisation before string ops */
4240
    [INDEX_op_jz_ecxw] = CC_OSZAPC,
4241
    [INDEX_op_jz_ecxl] = CC_OSZAPC,
4242
    [INDEX_op_jz_ecxw_im] = CC_OSZAPC,
4243
    [INDEX_op_jz_ecxl_im] = CC_OSZAPC,
4244

    
4245
#define DEF_READF(SUFFIX)\
4246
    [INDEX_op_adcb ## SUFFIX ## _T0_T1_cc] = CC_C,\
4247
    [INDEX_op_adcw ## SUFFIX ## _T0_T1_cc] = CC_C,\
4248
    [INDEX_op_adcl ## SUFFIX ## _T0_T1_cc] = CC_C,\
4249
    [INDEX_op_sbbb ## SUFFIX ## _T0_T1_cc] = CC_C,\
4250
    [INDEX_op_sbbw ## SUFFIX ## _T0_T1_cc] = CC_C,\
4251
    [INDEX_op_sbbl ## SUFFIX ## _T0_T1_cc] = CC_C,\
4252
\
4253
    [INDEX_op_rclb ## SUFFIX ## _T0_T1_cc] = CC_C,\
4254
    [INDEX_op_rclw ## SUFFIX ## _T0_T1_cc] = CC_C,\
4255
    [INDEX_op_rcll ## SUFFIX ## _T0_T1_cc] = CC_C,\
4256
    [INDEX_op_rcrb ## SUFFIX ## _T0_T1_cc] = CC_C,\
4257
    [INDEX_op_rcrw ## SUFFIX ## _T0_T1_cc] = CC_C,\
4258
    [INDEX_op_rcrl ## SUFFIX ## _T0_T1_cc] = CC_C,
4259

    
4260

    
4261
    DEF_READF()
4262
    DEF_READF(_raw)
4263
#ifndef CONFIG_USER_ONLY
4264
    DEF_READF(_kernel)
4265
    DEF_READF(_user)
4266
#endif
4267
};
4268

    
4269
/* flags written by an operation */
4270
static uint16_t opc_write_flags[NB_OPS] = { 
4271
    [INDEX_op_update2_cc] = CC_OSZAPC,
4272
    [INDEX_op_update1_cc] = CC_OSZAPC,
4273
    [INDEX_op_cmpl_T0_T1_cc] = CC_OSZAPC,
4274
    [INDEX_op_update_neg_cc] = CC_OSZAPC,
4275
    /* subtle: due to the incl/decl implementation, C is used */
4276
    [INDEX_op_update_inc_cc] = CC_OSZAPC, 
4277
    [INDEX_op_testl_T0_T1_cc] = CC_OSZAPC,
4278

    
4279
    [INDEX_op_mulb_AL_T0] = CC_OSZAPC,
4280
    [INDEX_op_imulb_AL_T0] = CC_OSZAPC,
4281
    [INDEX_op_mulw_AX_T0] = CC_OSZAPC,
4282
    [INDEX_op_imulw_AX_T0] = CC_OSZAPC,
4283
    [INDEX_op_mull_EAX_T0] = CC_OSZAPC,
4284
    [INDEX_op_imull_EAX_T0] = CC_OSZAPC,
4285
    [INDEX_op_imulw_T0_T1] = CC_OSZAPC,
4286
    [INDEX_op_imull_T0_T1] = CC_OSZAPC,
4287
    
4288
    /* bcd */
4289
    [INDEX_op_aam] = CC_OSZAPC,
4290
    [INDEX_op_aad] = CC_OSZAPC,
4291
    [INDEX_op_aas] = CC_OSZAPC,
4292
    [INDEX_op_aaa] = CC_OSZAPC,
4293
    [INDEX_op_das] = CC_OSZAPC,
4294
    [INDEX_op_daa] = CC_OSZAPC,
4295

    
4296
    [INDEX_op_movb_eflags_T0] = CC_S | CC_Z | CC_A | CC_P | CC_C,
4297
    [INDEX_op_movw_eflags_T0] = CC_OSZAPC,
4298
    [INDEX_op_movl_eflags_T0] = CC_OSZAPC,
4299
    [INDEX_op_movw_eflags_T0_io] = CC_OSZAPC,
4300
    [INDEX_op_movl_eflags_T0_io] = CC_OSZAPC,
4301
    [INDEX_op_movw_eflags_T0_cpl0] = CC_OSZAPC,
4302
    [INDEX_op_movl_eflags_T0_cpl0] = CC_OSZAPC,
4303
    [INDEX_op_clc] = CC_C,
4304
    [INDEX_op_stc] = CC_C,
4305
    [INDEX_op_cmc] = CC_C,
4306

    
4307
    [INDEX_op_btw_T0_T1_cc] = CC_OSZAPC,
4308
    [INDEX_op_btl_T0_T1_cc] = CC_OSZAPC,
4309
    [INDEX_op_btsw_T0_T1_cc] = CC_OSZAPC,
4310
    [INDEX_op_btsl_T0_T1_cc] = CC_OSZAPC,
4311
    [INDEX_op_btrw_T0_T1_cc] = CC_OSZAPC,
4312
    [INDEX_op_btrl_T0_T1_cc] = CC_OSZAPC,
4313
    [INDEX_op_btcw_T0_T1_cc] = CC_OSZAPC,
4314
    [INDEX_op_btcl_T0_T1_cc] = CC_OSZAPC,
4315

    
4316
    [INDEX_op_bsfw_T0_cc] = CC_OSZAPC,
4317
    [INDEX_op_bsfl_T0_cc] = CC_OSZAPC,
4318
    [INDEX_op_bsrw_T0_cc] = CC_OSZAPC,
4319
    [INDEX_op_bsrl_T0_cc] = CC_OSZAPC,
4320

    
4321
    [INDEX_op_cmpxchgb_T0_T1_EAX_cc] = CC_OSZAPC,
4322
    [INDEX_op_cmpxchgw_T0_T1_EAX_cc] = CC_OSZAPC,
4323
    [INDEX_op_cmpxchgl_T0_T1_EAX_cc] = CC_OSZAPC,
4324

    
4325
    [INDEX_op_cmpxchg8b] = CC_Z,
4326
    [INDEX_op_lar] = CC_Z,
4327
    [INDEX_op_lsl] = CC_Z,
4328
    [INDEX_op_fcomi_ST0_FT0] = CC_Z | CC_P | CC_C,
4329
    [INDEX_op_fucomi_ST0_FT0] = CC_Z | CC_P | CC_C,
4330

    
4331
#define DEF_WRITEF(SUFFIX)\
4332
    [INDEX_op_adcb ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4333
    [INDEX_op_adcw ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4334
    [INDEX_op_adcl ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4335
    [INDEX_op_sbbb ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4336
    [INDEX_op_sbbw ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4337
    [INDEX_op_sbbl ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4338
\
4339
    [INDEX_op_rolb ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4340
    [INDEX_op_rolw ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4341
    [INDEX_op_roll ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4342
    [INDEX_op_rorb ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4343
    [INDEX_op_rorw ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4344
    [INDEX_op_rorl ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4345
\
4346
    [INDEX_op_rclb ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4347
    [INDEX_op_rclw ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4348
    [INDEX_op_rcll ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4349
    [INDEX_op_rcrb ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4350
    [INDEX_op_rcrw ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4351
    [INDEX_op_rcrl ## SUFFIX ## _T0_T1_cc] = CC_O | CC_C,\
4352
\
4353
    [INDEX_op_shlb ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4354
    [INDEX_op_shlw ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4355
    [INDEX_op_shll ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4356
\
4357
    [INDEX_op_shrb ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4358
    [INDEX_op_shrw ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4359
    [INDEX_op_shrl ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4360
\
4361
    [INDEX_op_sarb ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4362
    [INDEX_op_sarw ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4363
    [INDEX_op_sarl ## SUFFIX ## _T0_T1_cc] = CC_OSZAPC,\
4364
\
4365
    [INDEX_op_shldw ## SUFFIX ## _T0_T1_ECX_cc] = CC_OSZAPC,\
4366
    [INDEX_op_shldl ## SUFFIX ## _T0_T1_ECX_cc] = CC_OSZAPC,\
4367
    [INDEX_op_shldw ## SUFFIX ## _T0_T1_im_cc] = CC_OSZAPC,\
4368
    [INDEX_op_shldl ## SUFFIX ## _T0_T1_im_cc] = CC_OSZAPC,\
4369
\
4370
    [INDEX_op_shrdw ## SUFFIX ## _T0_T1_ECX_cc] = CC_OSZAPC,\
4371
    [INDEX_op_shrdl ## SUFFIX ## _T0_T1_ECX_cc] = CC_OSZAPC,\
4372
    [INDEX_op_shrdw ## SUFFIX ## _T0_T1_im_cc] = CC_OSZAPC,\
4373
    [INDEX_op_shrdl ## SUFFIX ## _T0_T1_im_cc] = CC_OSZAPC,\
4374
\
4375
    [INDEX_op_cmpxchgb ## SUFFIX ## _T0_T1_EAX_cc] = CC_OSZAPC,\
4376
    [INDEX_op_cmpxchgw ## SUFFIX ## _T0_T1_EAX_cc] = CC_OSZAPC,\
4377
    [INDEX_op_cmpxchgl ## SUFFIX ## _T0_T1_EAX_cc] = CC_OSZAPC,
4378

    
4379

    
4380
    DEF_WRITEF()
4381
    DEF_WRITEF(_raw)
4382
#ifndef CONFIG_USER_ONLY
4383
    DEF_WRITEF(_kernel)
4384
    DEF_WRITEF(_user)
4385
#endif
4386
};
4387

    
4388
/* simpler form of an operation if no flags need to be generated */
4389
static uint16_t opc_simpler[NB_OPS] = { 
4390
    [INDEX_op_update2_cc] = INDEX_op_nop,
4391
    [INDEX_op_update1_cc] = INDEX_op_nop,
4392
    [INDEX_op_update_neg_cc] = INDEX_op_nop,
4393
#if 0
4394
    /* broken: CC_OP logic must be rewritten */
4395
    [INDEX_op_update_inc_cc] = INDEX_op_nop,
4396
#endif
4397

    
4398
    [INDEX_op_shlb_T0_T1_cc] = INDEX_op_shlb_T0_T1,
4399
    [INDEX_op_shlw_T0_T1_cc] = INDEX_op_shlw_T0_T1,
4400
    [INDEX_op_shll_T0_T1_cc] = INDEX_op_shll_T0_T1,
4401

    
4402
    [INDEX_op_shrb_T0_T1_cc] = INDEX_op_shrb_T0_T1,
4403
    [INDEX_op_shrw_T0_T1_cc] = INDEX_op_shrw_T0_T1,
4404
    [INDEX_op_shrl_T0_T1_cc] = INDEX_op_shrl_T0_T1,
4405

    
4406
    [INDEX_op_sarb_T0_T1_cc] = INDEX_op_sarb_T0_T1,
4407
    [INDEX_op_sarw_T0_T1_cc] = INDEX_op_sarw_T0_T1,
4408
    [INDEX_op_sarl_T0_T1_cc] = INDEX_op_sarl_T0_T1,
4409

    
4410
#define DEF_SIMPLER(SUFFIX)\
4411
    [INDEX_op_rolb ## SUFFIX ## _T0_T1_cc] = INDEX_op_rolb ## SUFFIX ## _T0_T1,\
4412
    [INDEX_op_rolw ## SUFFIX ## _T0_T1_cc] = INDEX_op_rolw ## SUFFIX ## _T0_T1,\
4413
    [INDEX_op_roll ## SUFFIX ## _T0_T1_cc] = INDEX_op_roll ## SUFFIX ## _T0_T1,\
4414
\
4415
    [INDEX_op_rorb ## SUFFIX ## _T0_T1_cc] = INDEX_op_rorb ## SUFFIX ## _T0_T1,\
4416
    [INDEX_op_rorw ## SUFFIX ## _T0_T1_cc] = INDEX_op_rorw ## SUFFIX ## _T0_T1,\
4417
    [INDEX_op_rorl ## SUFFIX ## _T0_T1_cc] = INDEX_op_rorl ## SUFFIX ## _T0_T1,
4418

    
4419
    DEF_SIMPLER()
4420
    DEF_SIMPLER(_raw)
4421
#ifndef CONFIG_USER_ONLY
4422
    DEF_SIMPLER(_kernel)
4423
    DEF_SIMPLER(_user)
4424
#endif
4425
};
4426

    
4427
void optimize_flags_init(void)
4428
{
4429
    int i;
4430
    /* put default values in arrays */
4431
    for(i = 0; i < NB_OPS; i++) {
4432
        if (opc_simpler[i] == 0)
4433
            opc_simpler[i] = i;
4434
    }
4435
}
4436

    
4437
/* CPU flags computation optimization: we move backward thru the
4438
   generated code to see which flags are needed. The operation is
4439
   modified if suitable */
4440
static void optimize_flags(uint16_t *opc_buf, int opc_buf_len)
4441
{
4442
    uint16_t *opc_ptr;
4443
    int live_flags, write_flags, op;
4444

    
4445
    opc_ptr = opc_buf + opc_buf_len;
4446
    /* live_flags contains the flags needed by the next instructions
4447
       in the code. At the end of the bloc, we consider that all the
4448
       flags are live. */
4449
    live_flags = CC_OSZAPC;
4450
    while (opc_ptr > opc_buf) {
4451
        op = *--opc_ptr;
4452
        /* if none of the flags written by the instruction is used,
4453
           then we can try to find a simpler instruction */
4454
        write_flags = opc_write_flags[op];
4455
        if ((live_flags & write_flags) == 0) {
4456
            *opc_ptr = opc_simpler[op];
4457
        }
4458
        /* compute the live flags before the instruction */
4459
        live_flags &= ~write_flags;
4460
        live_flags |= opc_read_flags[op];
4461
    }
4462
}
4463

    
4464
/* generate intermediate code in gen_opc_buf and gen_opparam_buf for
4465
   basic block 'tb'. If search_pc is TRUE, also generate PC
4466
   information for each intermediate instruction. */
4467
static inline int gen_intermediate_code_internal(CPUState *env,
4468
                                                 TranslationBlock *tb, 
4469
                                                 int search_pc)
4470
{
4471
    DisasContext dc1, *dc = &dc1;
4472
    uint8_t *pc_ptr;
4473
    uint16_t *gen_opc_end;
4474
    int flags, j, lj;
4475
    uint8_t *pc_start;
4476
    uint8_t *cs_base;
4477
    
4478
    /* generate intermediate code */
4479
    pc_start = (uint8_t *)tb->pc;
4480
    cs_base = (uint8_t *)tb->cs_base;
4481
    flags = tb->flags;
4482
       
4483
    dc->pe = (flags >> HF_PE_SHIFT) & 1;
4484
    dc->code32 = (flags >> HF_CS32_SHIFT) & 1;
4485
    dc->ss32 = (flags >> HF_SS32_SHIFT) & 1;
4486
    dc->addseg = (flags >> HF_ADDSEG_SHIFT) & 1;
4487
    dc->f_st = 0;
4488
    dc->vm86 = (flags >> VM_SHIFT) & 1;
4489
    dc->cpl = (flags >> HF_CPL_SHIFT) & 3;
4490
    dc->iopl = (flags >> IOPL_SHIFT) & 3;
4491
    dc->tf = (flags >> TF_SHIFT) & 1;
4492
    dc->singlestep_enabled = env->singlestep_enabled;
4493
    dc->cc_op = CC_OP_DYNAMIC;
4494
    dc->cs_base = cs_base;
4495
    dc->tb = tb;
4496
    dc->popl_esp_hack = 0;
4497
    /* select memory access functions */
4498
    dc->mem_index = 0;
4499
    if (flags & HF_SOFTMMU_MASK) {
4500
        if (dc->cpl == 3)
4501
            dc->mem_index = 6;
4502
        else
4503
            dc->mem_index = 3;
4504
    }
4505
    dc->jmp_opt = !(dc->tf || env->singlestep_enabled ||
4506
                    (flags & HF_INHIBIT_IRQ_MASK)
4507
#ifndef CONFIG_SOFTMMU
4508
                    || (flags & HF_SOFTMMU_MASK)
4509
#endif
4510
                    );
4511
#if 0
4512
    /* check addseg logic */
4513
    if (!dc->addseg && (dc->vm86 || !dc->pe))
4514
        printf("ERROR addseg\n");
4515
#endif
4516

    
4517
    gen_opc_ptr = gen_opc_buf;
4518
    gen_opc_end = gen_opc_buf + OPC_MAX_SIZE;
4519
    gen_opparam_ptr = gen_opparam_buf;
4520

    
4521
    dc->is_jmp = DISAS_NEXT;
4522
    pc_ptr = pc_start;
4523
    lj = -1;
4524

    
4525
    for(;;) {
4526
        if (env->nb_breakpoints > 0) {
4527
            for(j = 0; j < env->nb_breakpoints; j++) {
4528
                if (env->breakpoints[j] == (unsigned long)pc_ptr) {
4529
                    gen_debug(dc, pc_ptr - dc->cs_base);
4530
                    break;
4531
                }
4532
            }
4533
        }
4534
        if (search_pc) {
4535
            j = gen_opc_ptr - gen_opc_buf;
4536
            if (lj < j) {
4537
                lj++;
4538
                while (lj < j)
4539
                    gen_opc_instr_start[lj++] = 0;
4540
            }
4541
            gen_opc_pc[lj] = (uint32_t)pc_ptr;
4542
            gen_opc_cc_op[lj] = dc->cc_op;
4543
            gen_opc_instr_start[lj] = 1;
4544
        }
4545
        pc_ptr = disas_insn(dc, pc_ptr);
4546
        /* stop translation if indicated */
4547
        if (dc->is_jmp)
4548
            break;
4549
        /* if single step mode, we generate only one instruction and
4550
           generate an exception */
4551
        /* if irq were inhibited with HF_INHIBIT_IRQ_MASK, we clear
4552
           the flag and abort the translation to give the irqs a
4553
           change to be happen */
4554
        if (dc->tf || dc->singlestep_enabled || 
4555
            (flags & HF_INHIBIT_IRQ_MASK)) {
4556
            gen_op_jmp_im(pc_ptr - dc->cs_base);
4557
            gen_eob(dc);
4558
            break;
4559
        }
4560
        /* if too long translation, stop generation too */
4561
        if (gen_opc_ptr >= gen_opc_end ||
4562
            (pc_ptr - pc_start) >= (TARGET_PAGE_SIZE - 32)) {
4563
            gen_op_jmp_im(pc_ptr - dc->cs_base);
4564
            gen_eob(dc);
4565
            break;
4566
        }
4567
    }
4568
    *gen_opc_ptr = INDEX_op_end;
4569
    /* we don't forget to fill the last values */
4570
    if (search_pc) {
4571
        j = gen_opc_ptr - gen_opc_buf;
4572
        lj++;
4573
        while (lj <= j)
4574
            gen_opc_instr_start[lj++] = 0;
4575
    }
4576
        
4577
#ifdef DEBUG_DISAS
4578
    if (loglevel) {
4579
        fprintf(logfile, "----------------\n");
4580
        fprintf(logfile, "IN: %s\n", lookup_symbol(pc_start));
4581
        disas(logfile, pc_start, pc_ptr - pc_start, 0, !dc->code32);
4582
        fprintf(logfile, "\n");
4583
#if 0
4584
        fprintf(logfile, "OP:\n");
4585
        dump_ops(gen_opc_buf, gen_opparam_buf);
4586
        fprintf(logfile, "\n");
4587
#endif
4588
    }
4589
#endif
4590

    
4591
    /* optimize flag computations */
4592
    optimize_flags(gen_opc_buf, gen_opc_ptr - gen_opc_buf);
4593

    
4594
#ifdef DEBUG_DISAS
4595
    if (loglevel) {
4596
        fprintf(logfile, "AFTER FLAGS OPT:\n");
4597
        dump_ops(gen_opc_buf, gen_opparam_buf);
4598
        fprintf(logfile, "\n");
4599
    }
4600
#endif
4601
    if (!search_pc)
4602
        tb->size = pc_ptr - pc_start;
4603
    return 0;
4604
}
4605

    
4606
int gen_intermediate_code(CPUState *env, TranslationBlock *tb)
4607
{
4608
    return gen_intermediate_code_internal(env, tb, 0);
4609
}
4610

    
4611
int gen_intermediate_code_pc(CPUState *env, TranslationBlock *tb)
4612
{
4613
    return gen_intermediate_code_internal(env, tb, 1);
4614
}
4615